Invited talk

  1. H. Kondo, M. Hori, and M. Hiramatsu (INVITED )
    Synthesis and control of carbon nano walls for their applications to future functional devices,
    12th International Workshop on Advanced Plasma Processing and Diagnostics, Kyushu University, Fukuoka, Japan, January 4-6, 2011. 

  2. K. Ishikawa, N. Sumi, A. Kono, H. Horibe, K. Takeda, H. Kondo, M. Sekine, M. Hori  (INVITED )
    Real-time Electron-Spin-Resonance Study of Plasma-Surface interaction,
    12th International Workshop on Advanced Plasma Processing and Diagnostics, Kyushu University, Fukuoka, Japan, January 4-6, 2011. 

  3. M. Hori  (KEYNOTE )
    Plasma Innovation towards Next Generation Green and Life Science and Technology,
    12th International Workshop on Advanced Plasma Processing and Diagnostics, Kyushu University, Fukuoka, Japan, January 4-6, 2011. 

  4. H. Kondo  (INVITED )
    Gas phase and surface reactions of radicals in plasma-enhanced chemical vapor deposition processes for thin-film silicon solar cell,
    2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization, Cerklje, Slovenia, March 1-4, 2011. 

  5. M. Hori  (INVITED )
    Insight into plasma nano-interface with organic materials,
    2nd International Workshop on Plasma Nano-Interfaces and Plasma Characterization, Cerklje, Slovenia, March 1-4, 2011. 

  6. M. Hori  (INVITED )
    Fundamental Research and Global Innovation on Plasma Nanoprocessing,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011. 

  7. M. Hori  (INVITED )
    High Speed Surface Modification with AC Excited Ultra High Density Non-Equilibrium Atmospheric Pressure Plasma,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011. 

  8. M. Hori  (INVITED )
    Global Innovations on Industries by Advanced Plasma Science and Technology,
    International Conference on Materials For Advanced Technologies, Singapore, June 26-July 1, 2011. 

  9. K. Ishikawa, N. Sumi, A. Kono, H. Horibe, K. Takeda, H. Kondo,M. Sekine, M. Hori  (INVITED )
    Real-time Electron-Spin-Resonance Study of Plasma-Surface,
    13th international workshop on Advanced Plasma Processing and Diagnostics, Daejeon Convention Center, Deajeon, Korea, July 21-22, 2011. 

  10. M. Hiramatsu, H. Kondo, and M. Hori  (INVITED )
    Carbon nanowalls: growth mechanism and nucleation control,
    International Conference on Processing and Manufacturing of Advanced Materials (THERMEC’2011), Quebec City Convention Center, Canada, August 1-5, 2011. 

  11. N. Ebizuka  (INVITED )
    VUV Radical Monitor and New Gratings: Plasma Diagnostics by Optical Technology,
    Advanced Plasma Technology for Green Energy and Biomedical Applications (APT 2012), Centra Duangtawan Hotel, Chaingmai, Thailand, August 11-12, 2011. 

  12. M. Sekine (PLENARY )
    Plasma Nano-Science and Technology for Green and Life Innovation,
    Advanced Plasma Technology for Green Energy and Biomedical Applications (APT 2012), Centra Duangtawan Hotel, Chaingmai, Thailand, August 11-12, 2011. 

  13. M. Hori  (INVITED )
    The High Density Atmospheric Plasma Process and Diagnostics for Bioscience,
    1st International Symposium for Plasma Biosciences, Kwangwoon University, Korea, August 14-16, 2011. 

  14. M. Hori  (INVITED )
    The role of plasmas in nano-fabrication,
    ICPIG2011 Conference, Belfast, UK, August 28-September 2, 2011. 

  15. M. Sekine, Y. Miyawaki, Y. Kondo, K. Takeda, K. Ishikawa, T. Hayashi, M. Hori  (INVITED )
    Mechanism of Highly Selective SiO2 Etching over Si2N4 using a Hydro-fluorocarbon Gas,
    4th International conference on advanced plasma technologies, Strunjan, Slovenia, September 9-13, 2011. 

  16. M. Hori, K. Takeda (INVITED )
    Systematical Measurement of Reactive Oxygen Species in Surface Wave Excited O2/Ar and O2/Kr Plasmas and Their Applications to Si Oxidation Processing,
    4th International conference on advanced plasma technologies, Strunjan, Slovenia, September 9-13, 2011. 

  17. M. Shiratani, T. Urakawa, G. Uchida,K. Koga, Y. Setsuhara, M. Sekine, M. Hori (INVITED )
    Carbon protective layer on top surface of trench substrate using H-assisted plasma CVD,
    4th International conference on advanced plasma technologies, Strunjan, Slovenia, September 9-13, 2011. 

  18. H. Kondo, M. Hori, M. Sekine, M. Hiramatsu  (INVITED )
    Controlled Synthesis of Carbon Nanowalls for Functional Device Applications,
    4th International conference on advanced plasma technologies, Strunjan, Slovenia, September 9-13, 2011. 

  19. M. Hiramatsu, H. Kondo, M. Hori  (INVITED )
    Carbon nanowalls: synthesis and application,
    4th International conference on advanced plasma technologies, Strunjan, Slovenia, September 9-13, 2011. 

  20. K. Ishikawa , N. Sumi, A. Kono, H. Horibe, K. Takeda, H. Kondo, M. Sekine, M. Hori (INVITED )
    In situ ESR Masurements for revealing Plasma-Surface Interactions,
    3rd International Conference on Microelectronics and Plasma Technology (ICMAP), Furama Hotel, Dilian City, China, September 19-22, 2011. 

  21. M. Hiramatsu, H. Kondo, M. Hori  (INVITED )
    Carbon nanowalls: synthesis and application,
    IV International Conference on Surfaces, Materials and Vacuum and Specialist Meeting on Carbon (SMC2011), Puerto Vallarta, Jalisco, Mexico, September 25-28, 2011. 

  22. H. Kondo (INVITED )
    Controlled Synthesis of Carbon Nanowalls and their Characterization Employing Synchrotron X-ray,
    BIT s 1st Annual World Congress of Nano-S and T, World EXPOCenter, Dalian, China, Octber 23-26, 2011. 

  23. M. Hori (INVITED )
    Suppleand Intelligential Approach to SoftMaterials For Flexible Electronics By Advanced PLasma-NanoTechnology,
    Topical Workshop On Plasma-nano Techonology-Green Energy And Flexible New Materials, Sungkyunkwan University, Korea, Octber 28-29, 2011. 

  24. H. Kondo  (INVITED )
    Advanced Plasma Nanotechnology fir Control Synthesis and Biomedical Applications of Carbon Nanomaterials,
    NanoMedicine-2011, Shenzhen, China, November 3-5, 2011. 



General

  1. T. Urakawa, T. Nomura, H. Mtsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori.
    Main discharge dependence of deposition rate of plasma CVD carbon films deposited using H-assisted plasma CVD reactor,
    The 12th International Workshop on Advanced Plasma Processing and Diagnostics, Kyushu University, Fukuoka, Japan, January 4-6, 2011.   ( )

  2. T. Nomura, T. Urakawa, Y. Korenaga, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori.
    Emission intensity measurements of Ar+H2+C7H8 plasmas using H-assisted plasma CVD,
    The 12th International Workshop on Advanced Plasma Processing and Diagnostics, Kyushu University, Fukuoka, Japan, January 4-6, 2011.   ( )

  3. Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori.
    Combinatorial Analyses of Plasma Materials Processing,
    The 12th International Workshop on Advanced Plasma Processing and Diagnostics, Kyushu University, Fukuoka, Japan, January 4-6, 2011.   ( )

  4. S. Iseki, T. Ohta, M. Ito, H. Kano, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Effect of reactive oxygen species on Penicillium digitatum inactivation,
    The 12th International Workshop on Advanced Plasma Processing and Diagnostics, Kyushu University, Fukuoka, Japan, January 4-6, 2011.   ( )

  5. T. Hiraoka, K. Takeda, K. Ishikawa, H. Kondo, M. Ito, M. Sekine, M. Hori.
    Study of Terahertz Time Domain Spectroscopy for Biological Plasma Applications,
    The 12th International Workshop on Advanced Plasma Processing and Diagnostics, Kyushu University, Fukuoka, Japan, January 4-6, 2011.   ( )

  6. H. Kondo, M. Hori.
    Gas phase and surface reactions of radicals in plasma-enhanced chemical vapor deposition processes for thin-film silicon solar cell,
    2nd International Workshop on Plasma nano-Interfaces and Plasma Characterization, Cerklje, Slovenia, March 1-4, 2011.   ( )

  7. M. Hori, K. Ishikawa, K. Takeda, S. Makoto.
    Insight into plasma nano-interface with organic materials,
    2nd International Workshop on Plasma nano-Interfaces and Plasma Characterization, Cerklje, Slovenia, March 1-4, 2011.   ( )

  8. T. Kino, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Synthesis of Amorphous Carbon Films Employing radical-injection Plasma-Enhanced Chemical Vapor Deposition system for Solar Cell,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  9. S. Kawashima, Y. Abe, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Crystallinity Control of Microcrystalline Silicon film Employing Hydrogen Radical-injection Plasma-enhanced Chemical Vapor Deposition,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  10. K. Ishikawa, S. Chen, R. Kometani, H. Kondo, K. Takeda, H. Kano, Y. Tokuda, M. Sekine, M. Hori.
    Nitogen Radical Annealing recovery of Etch-Induced-Damage on GaN,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  11. T. Mori, T. Ohta, M. Ito, S. Iseki, M. Hori.
    Fluorescent Microscopy of Penicillium Digitatum in Plasma Inactivation using Non-equilibrium Atomospheric Pressure Plasma,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  12. K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori.
    Effects of Irradiations with Ions and Photons in UV-VUV Regions on Nano-Surface of Polymers Exposed to Plasmas,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  13. K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori.
    Investigation of Plasma-Polymer Interactions with Combinatorial Method,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  14. K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori.
    Investigation of Plasma-Polymer Interactions with Combinatorial Method,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  15. S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, N. Sakurai, H. Hayashi, I. Sakai, T. Ohiwa.
    Study of Etch Reaction with F and O radicals using SF6/O2 Plasma,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  16. T. Takeuchi, S. Amasaki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    In situ XPS Analysis of Surface Modification on ArF Photoresist by Fluorocarbon Plasma Beams,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  17. T. Suzuki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Sub-nm Scale Control of Etched-Profile-Fluctuations in Organic Low-k Film Etch,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March. 6-9, 2011.   ( )

  18. K. Asano, H. Yamamoto, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    In-situ FTIR Analysis of Porous Low-k Film Exposed to O2 Plasma,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  19. Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, H. Kondo, T. Hayashi, H. Okamoto, M. Sekine, M. Hori.
    SiO2 Plasma Etching Process Using Alternative Gas, C3F6O,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  20. S. Chen, R. Kometani, K. Ishikawa, H. Kondo, K. Takeda, H. Kano, Y. Tokuda, M. Sekine, M. Hori.
    Deep Level Defect in GaN after Plasma Beam Etching,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  21. Y. Miyawaki, Y. Kondo, H. Yamamoto, K. Takeda, K. Ishikawa, A. Yamazaki, A. Ito, H. Matsumoto, M. Sekine, M. Hori.
    C5HF7 Chemistry for Highly Selective Etch of SiO2 over Si,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  22. R. Kometani, S. Chen, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, H. Amano, Y. Setsuhara, M. Hori.
    Interaction of Gallium Nitride(GaN)Surface with chlorine Plasma Beams Analyzed by In-situ XPS,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  23. K. Yamakawa, S. Den, S. Takahashi, H. Yamamoto, M. Hori, H. Kondo.
    Development and Application of Electron Beam-Excited Plasma System using Hollow Cathode Electron Source,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  24. A. Mailnowski, M. Hori, M. Sekine, K. Ishikawa, H. Kondo, H. Yamamoto, T. Takeuchi, T. Suzuki, A. Jakubowski, L. Lukasiak.
    Radical Flux Modeling and Analysis for Sticking Coefficient Evaluation,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  25. N. Ebizuka, H. Kondo, K. Ishikawa, M. Hori.
    Plasma Nano-Technologies for Fabrications of VPH Grating, Quasi-Bragg Grating and Novel Immersion Grating,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  26. M. Inoue, T. Ohta, T. Kanae, M. Ito, K. Yamakawa, M. Hori.
    Behavior of metastable He Atom in the Multi-Micro Hollow Cathode Lamp Measured by Laser Absorption Spectroscopy,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  27. S. Iseki, T. Ohta, M. Ito, H. Kano, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    O Radical Measurement in Penicillum Digitatum Inactivation Using Non-equilibrium Atmospheric O2/Ar Plasma,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  28. T. Hiraoka, K. Takeda, K. Ishikawa, H. Kondo, M. Ito, M. Sekine, M. Hori.
    Measurement of Optical Properties of Carbon-based Material Using Terahertz Time domain Spectroscopy,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  29. Y. Abe, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Surface Loss Probability of Hydrogen Radical in SiH4/H2 Plasma,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  30. M. Naito, K. Murata, M. Hiramatsu, M. Hori.
    Fabrication of Aligned Carbon Nanotube Films using Microwave Plasma-Enhanced CVD,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  31. H. Watanabe, K. Takeda, H. Kondo, M. Hiramatsu, M. Hori.
    Affection of Hydrophilic and Hydrophobic of Carbon Nanowalla Using Plasma Surface Trearment,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  32. H. Watanabe, K. Takeda, H. Kondo, M. Hiramatsu, M. Hori.
    Affection of Hydrophilic and Hydrophobic of Carbon Nanowalla Using Plasma Surface Trearment,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  33. Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori.
    Area-selective of Carbon Nanowalls by Using Catalyzed Substrate,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  34. K. Murata, M. Naito, M. Hiramatsu, S. Takashima, H. Kondo, M. Hori.
    Determination of C Atom Density in Microwave Plasma with Carbon-Containing Gases by Vacuum Ultraviolet Absorption Spectroscopy,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  35. H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori.
    Effects of Substrate Temperatures on Crystallographic Changes of Carbon Nanowalls Induced by radical Irradiation,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  36. K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori.
    STS Observation of Local Density of States on Growth Surface at an Initial Stage of Carbon Nanowall Synthesis ,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  37. S. Mitsuguchi, H. Kano, M. Hiramatsu, H. Kondo, M. Sekine, M. Hori.
    Synthesis of Platinum Nanoparticles on Carbon Nanowall Surface by Supercritical Fluid Chemical Deposition,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  38. T. Kanda, K. Yamakawa, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori.
    Fabrication and Electrical Conductivity Measurements of Single Carbon Nanowall Bridging between Metal Eectrodes,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  39. J. Kularatne, H. Kano, M. Ito, T. Ohta, K. Takeda, H. Kondo, M. Hori.
    Effects of Argon Flow Rate on the Atomic Emission Process of Cu Solution in Atmospheric Pressure Plasma,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  40. F. Jia, N. Sumi, K. Ishikawa, H. Kano, H. Inui, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Measurement of the Electron Temperature and Density of 60 Hz Nonequikibrium Atmospheric Pressure Plasma Jet by Laser Thomson Scattering,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  41. M. kato, K. Takede, K. Ishikawa, H. Kondo, M. Skine, M. Hori.
    Three Dimensional Distribution of Atomic Radical in Nonequilibrium Atmospheric Pressure Plasma,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  42. T. Tsutsumi, T. Ohta, M. Ito, M. Hori.
    High Resolution Measurement of Silicon Substrate Using frequency Domain Coherence Interferometer for Plasma,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  43. M. Inoue, T. Ohta, N. Takota, M. Ito, H. Kano, K. Yamakawa, M. Hori.
    Behaviors of Pb Atom in the Multi-Micro Hollow Cathode Lamp Measured by Diode Laser Absorption Spectroscopy,
    3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Nagoya Institute of Technology, Nagoya, Japan, March 6-9, 2011.   ( )

  44. T. Hagino, H. Kano, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Synthesis of Nano-graphene by Plasma in Liquid Ethanol,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  45. I-S Bae, K. Kuno, M. Ito, H. Kondo, M. Sekine, M. Hori.
    Diagnostics and Surface Reaction Analysis on RF Sputtering Process for Co Nanoparticles Formation,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  46. Y. Lu, S. Chen, R. Kometani, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, T. Egawa, H. Amano, Y. Setsuhara, M. Hori.
    Surface Analysis of GaN Irradiated by Cl2 Plasma Beam,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  47. F. Jia, K. Takeda, K. Ishikawa, H. Inui, S. Iseki, H. Kano, H. Kondo, M. Sekine, M. Hori.
    Measurement of Atomic Oxygen in Ultrahigh Density 60 Hz Atmospheric Pressure Plasma by Two Photon Absorption Laser Induced Fluorescence,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  48. Sh. Chen, Y. Lu, R. Kometani, K. Takeda, K. Ishikawa, H. Kondo, H. Kano, Y. Tokuda, M. Sekine, Y. Setsuhara, T. Egawa, H. Amano, M. Hori.
    Passivation of Plasma Damaged GaN with Hydrogen Radical Anneal,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  49. T. Tsutsumi, T. Ohta, M. Ito, M. Hori.
    Temperature Measurement of Silicon Wafer Treated by Atmospheric Pressure Plasma Using Frequency Domain Low Coherence Interferometer,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  50. K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori.
    HXPES Analysis of Ar+O2 Plasma Exposed Zn/PET Interface for Inorganic Layer Formation on Organic Materials,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  51. M. Inoue, T. Ohta, T. Kanae, N. Takota, M. Ito, H. Kano, K. Yamakawa, M. Hori.
    Behavior of Atomic Species in the Multi-Micro Hollow Cathode Lamp Measured by Laser Absorption Spectroscopy,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  52. M. Kato, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Gas Phase Reaction of Activated Species in O2/Ar Nonequilibrium Atmospheric Pressure Plasma,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  53. T. Hayashi, K. Ishikawa, M. Sekine, M. Hori, A. Kono, K. Suu.
    Dissociations of Alternate Etching Gases in Reactive Plasma,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  54. T. Kanda, H. Kondo, K. Yamakawa, M. Hiramatsu, K. Takeda, K. Ishikawa, M. Sekine, M. Hori.
    Control of Bridging Growth and Electrical properties of Single Carbon Nanowalls,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   (POSTER )

  55. K. Yasuda, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori.
    Electrical and Chemical Structures of Nanographene Nucleated at Initial Growth Processes of Carbon Nanowalls,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  56. M. Naito, K. Murata, M. Hiramatsu, M. Hori.
    Improvement of Deposition Uniformity of Aligned Carbon Nanotube Films Using Microwave Plasma-enhanced CVD,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  57. Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori.
    Nucleation Control of Carbon Nanowalls Grown Using Inductively Coupled Plasmaenhanced CVD,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  58. A. Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, L. Lukasiak.
    Investigation of Surface Reactions in ArF Photoresist by Using Parallel Plate Structure in Conjunction with Numerical Analysis,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  59. Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, H. Kondo, T. Hayashi, H. Okamoto, M. Sekine, M. Hori.
    Chemical Modeling of Etch Process Using C3F6O Alternative Gas,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  60. S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, N. Sakurai, H. Hayashi, I. Sakai, T. Ohiwa.
    Investigation of Si Etch Reaction with F and O Radicals Using SF6/O2 Plasma,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  61. N. Sumi, K. Ishikawa, A. Kono, H. Horibe, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Real-time/In-situ Electron-Spin-Resonance Analysis of Surface Reactions on Organic Materials in Plasma Processes,
    4th International Conference on PLAsma-Nano Technology and Science, Takayama Public Cultural Hall, Gifu, Japan, March 10-12, 2011.   ( )

  62. H. Kondo, T. Hagino, K. Ishikawa, K. Takeda, H. Kano, M. Sekine, M. Hori.
    Growth processes and crystallographic properties of nanographenes synthesized employing in-liquid plasma,
    3rd International Conference on Microelectronics and Plasma Technology (ICMAP), Furama Hotel, Dalian, China, July 4-7, 2011.   ( )

  63. T. Suzuki, S. Mitsuguti, A. Malinowski, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Subnanometer-scale control of feature size and shape in organic low-k film etch, Oral S-07O ,
    3rd International Conference on Microelectronics and Plasma Technology (ICMAP), Furama Hotel, Dalian, China, July 4-7, 2011.   ( )

  64. K. Hattori, M. Sekine, M. Hori .
    High performance and Reaction Mechanism for Decomposition and Fixation of Dry Etching exhaust Perfluoro-Compound Gases,
    13th international workshop on Advanced Plasma Processing and Diagnostics, Daejeon Convention Center, Deajeon, Korea, July 21-22, 2011. p-27  ( )

  65. S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kondo, K. Takeda, H. Kano, M. Sekine, M. Hori.
    Recovery of plasma-damaged GaN by atomic nitrogen hiydrogen,
    13th international workshop on Advanced Plasma Processing and Diagnostics, Daejeon Convention Center, Deajeon, Korea, July 21-22, 2011. p-25  ( )

  66. Keigo Takeda, Masanori Kato, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori.
    Spatial Distribution of Atomic Radical Generated by AC Excited Nonequilibrium Atmospheric Pressure Plasma,
    20th International Symposium on Plasma Chemistry, Loews Hotel, Philadelphia, USA, July 24-29, 2011.   ( )

  67. Masaru Hori, Kenji Ishikawa, Naoya Sumi, Akihiko Kono, Hideo Horibe, Keigo Takeda, Hiroki Kondo, Makoto Sekine.
    Real Time Electron-Spin-Rresonace (ESR) Study of Plasma-Induced Surface Reactions,
    20th International Symposium on Plasma Chemistry, Loews Hotel, Philadelphia, USA, July 24-29, 2011. 26M-R1 ( )

  68. M. Hiramatsu, H. Kondo, M. Hori.
    Radical density measurements in microwave plasma with carbon-containing gases used for carbon nanotube and nanocrystalline diamond film growth,
    20th International Symposium on Plasma Chemistry, Loews Hotel, Philadelphia, USA, July 24-29, 2011.   ( )

  69. M. Hori, Y. Abe, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine.
    Surface reaction of hydrogen radical on plasma enhanced chemical vapour deposition of silicon thins films,
    ICPIG2011 Conference, Belfast, UK, August 28-2, 2011.   ( )

  70. S. Mitsuguchi, Y. Nihashi M. Hiramatsu, H. Kondo, M. Hori.
    Growth of carbon nanowalls on carbon paper for fuel cell electrode,
    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011), Congress Centre, Garmisch-Partenkirchen, Germany, September 4-8, 2011.   ( )

  71. K. Murata, M. Hiramatsu, K. Yamakawa, H. Kondo, M. Hori.
    Fabrication of carbon nanotubes from camphor using atmospheric pressure plasma-enhanced CVD,
    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011), Congress Centre, Garmisch-Partenkirchen, Germany, September 4-8, 2011.   ( )

  72. Y. Nihashi, T. Maeda, M. Hiramatsu, H. Kondo, M. Hori.
    Growth of two-dimensional carbon nanostructures using plasma-enhanced chemical vapor deposition employing camphor,
    22nd European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes and Nitrides (Diamond 2011), Congress Centre, Garmisch-Partenkirchen, Germany, September 4-8, 2011.   ( )

  73. A. Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Suzuki, T. Takeuchi, H. Yamamoto, A. Jakubowski, L. Lukasiak, D. Tomaszewski.
    Sticking coefficient of hydrogen radicals on ArF phtotoresist estimated by parallel plate structure in conjunction with numerical analysis,
    SISPAD2011, Hotel HankyuExpoPark, Osaka, September 8-10, 2011. P-26 ( )

  74. A. Malinowski, M. Hori, M. Sekine, K. Ishikawa, H. Kondo, K. Takeda.
    Development of radical kinetic behaivour investigation method and its application for sticking coefficient estimation ,
    ESSDERC2011, Finlandia Hall, Finland, September 12-16, 2011.   ( )

  75. K. Asano, H. Yamamoto, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    In-situ Analysis of Modification on Porous SiOCH During and After O2 Plasmas,
    ADMETA Plus 2011, Shibaura Institute of Technology (Toyosu Campus), Tokyo, September 12-15, 2011. 3-4 ( )

  76. F. Jia, K. Takeda, K. Ishikawa, H. Kano, H. Kondo, M. Sekine, M. Hori .
    Higly Spatial Mapping of Atomic Oxgen Dentisy in a 60-Hz Atmospheric Pressure Plasmas Using Clibrated two-Photon Laser-Induced Fluorescence,
    AEPSE2011, FuramaHotel, Dilian City, China, September 19-22, 2011 . P-161 ( )

  77. Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, T. Hayashi, M. Skine, H. Kondo, M. Hori .
    Modeling of C3F6O/Ar Plasma Chemistry for SiO2 Etching Processes,
    AEPSE2011, FuramaHotel, Dilian City, China, September 19-22, 2011 . P-310 ( )

  78. H. Yamamoto, K. Asano, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    In situ Analysis of Plasma-Induced Modification on Porous SiOCH Films,
    43rd International Conference on Solid State Devices and Materials (SSDM), WINC AICHI, Nagoya City, Japan, September 27-30, 2011. C-9-2 ( )

  79. T. Hagino, H. Kondo, H. Kano, K. Ishikawa, M. Sekine, M. Hori.
    Ultrafast Synthesis of Nanographen Employing an Ultrahigh-density In-liquid Alcohol Plasma,
    43rd International Conference on Solid State Devices and Materials (SSDM), WINC AICHI, Nagoya City, Japan, September 27-30, 2011. P-13-14 ( )

  80. T. Suzuki, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori.
    Field Emission Properties of 10-nm Pillars of Organic Fabricated by Pt particles and Plasma Etching,
    43rd International Conference on Solid State Devices and Materials (SSDM), WINC AICHI, Nagoya City, Japan, September 27-30, 2011. P-8-3 ( )

  81. Y. Lu, S. Chen, R. Kometani, K. Takeda, H. Kondo, T. Egawa, K. Ishikawa, H. Amano, M. Sekine, M. Hori.
    Plasma-Induced Damage of GaN and Its Recovery by Atomic Hydrogens at a Room Temperature,
    43rd International Conference on Solid State Devices and Materials (SSDM), WINC AICHI, Nagoya City, Japan, September 27-30, 2011. P-6-4 ( )

  82. Y. Abe, A. Fukushima, Y. Lu, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Effect of Hydrogen Radical Injection on Growth Property and Crystallinity of Microcrystalline Silicon Thin Film,
    43rd International Conference on Solid State Devices and Materials (SSDM), WINC AICHI, Nagoya City, Japan, September 27-30, 2011. L-2-6 ( )

  83. K. Takeda, M. Hori.
    Effect of H2 gas addition on Si Oxidation Process with Ar and O2 Mixture Surface Wave Plasma,
    43rd International Conference on Solid State Devices and Materials (SSDM), WINC AICHI, Nagoya City, Japan, September 27-30, 2011. C-1-5 ( )

  84. S. Mitsuguchi, M. Hiramatsu, H. Kondo, M. Hori, H. Kano.
    Fabrication of Carbon Nanowalls on Carbon Fiber Paper,
    43rd International Conference on Solid State Devices and Materials (SSDM), WINC AICHI, Nagoya City, Japan, September 28-30, 2011.   ( )

  85. S. Chen, Y. Lu, R. Kometani, K. Takeda, K. Ishikawa, H. Kondo, H. Kano, H. Amano, Y. Tokuda, T. Egawa, M. Sekine, M. Hori.
    Room Temperature Radical Annealing of Plasma Damaged,
    58th International Symposium American Vacuum Society (AVS), Nashville Convention Center, Tennessee, USA, October 30-4, 2011. PS-ThM11 ( )

  86. F. Jia, K. Takeda, K. Ishikawa, H. Inui, S. Iseki, H. Kano, H. Kondo, M. Sekine, M. Hori.
    High Performance of 60-Hz Atmospheric Pressure Plasma: Basic Characteristics and Applications,
    58th International Symposium American Vacuum Society (AVS), Nashville Convention Center, Tennessee, USA, October 30-4, 2011.   ( )

  87. M. Hori.
    Plasma Nano Sience,
    Seminar on VTT finland, VTT Technical Research Centre, Finland, November 2, 2011.   ( )

  88. M. Hori.
    Plasma Process Technology and Science,
    Seminar on VTT finland, VTT Technical Research Centre, Finland, November 3, 2011.   ( )

  89. K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori.
    Plasma Oxidation Behaviors of Zn Nano Films,
    ICTF-15, Kyoto Terrasa, Kyoto, November 8-11, 2011. P-S6-16 ( )

  90. J. Kuki, L. Yu. H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Effects of RF Bias on Structural Properties of Amorphous Carbon Films Grown by Plasma-Enhanced Chemical Vapor Deposition,
    ICTF-15, Kyoto Terrasa, Kyoto, November 8-11, 2011. P-S2-14 ( )

  91. T. Horibe, S. Mitsuguchi, M. Hiramatsu, H. Kondo, M. Sekine, M. Hori.
    Formation of Ultrahigh Density TiO2 Nanoparticles Supporting on Carbon Nanowalls Employing Supercritical Fluid Chemical Fluid Deposition,
    ICTF-15, Kyoto Terrasa, Kyoto, November 8-11, 2011. P-S2-13 ( )

  92. A. Fukushima, Y. Abe, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Relationship between Radicals in Gas Phase and Film Property of Silicon Thin Films in SiH4/H2 Plasma CVD,
    ICTF-15, Kyoto Terrasa, Kyoto, November 8-11, 2011. P-S2-01 ( )

  93. S. Tomiya, M. Minami, K. Ishikawa, S. Izumi, M. Kanda, M. Fukasawa, A. Yamaguchi, M. Hori, T. Tatsumi.
    Plasma induced damage to InGaN single quantum well,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. A-5 ( )

  94. K. Asano, H. Yamamoto, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, A. Yamazaki, A. Ito, H. Matsumoto, M. Sekine, M. Hori.
    Inhibition of roughness formation on 193 nm photoresist during C5HF7/O2/Ar plasma etching,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. B-2 ( )

  95. K. Asano, H. Yamamoto, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, A. Yamazaki, A. Ito, H. Matsumoto, M. Sekine, M. Hori.
    Inhibition of roughness formation on 193 nm photoresist during C5HF7/O2/Ar plasma etching,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. B-2 ( )

  96. N. Sumi, K. Ishikawa, A. Kono, H. Horibe, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Real-time/In-situ electron spin resonance analysis of surface reactions on organic materials with atomic hydrogen irradiation,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. E-3 ( )

  97. T. Hayashi, K. Ishikawa, M. Sekine, M. Hori, A. Kono, K. Suu.
    Quantum chemical investigation for Si Chemical dry etching by flowing NF3 into N2 down flow plasma,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. P1-01 ( )

  98. Y. Miyawaki, Y. Kondo, K, Takeda, K. Ishikawa, A. Yamazaki, A. ito, H. Matsumoto, T. Hayashi, M. Sekine, M. Hori.
    Clarification of Highly Selective SiO2 Etching Mechanisms using C5HF7Gas,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. P1-03 ( )

  99. T. Takeuchi, S. Amasaki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori .
    Study on photoresist surface modification induced by fluorocarbon etch species,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. P1-04 ( )

  100. S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, N. Sakurai, H. Hayashi, I. Sakai, T. Ohiwa.
    Investigation of mechanism at sidewall of through Si via(TSV)etching induced by SF6/O2plasma,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. P1-06 ( )

  101. Y. Kondo, Y. Miyawaki, K. Takeda, K. Ishikawa, H. Kondo, T. Hayashi, M. Sekine, M. Hori.
    Modeling of C3F6O/Ar Plasma Chemical for SiO2 Etching Processes,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. P1-12 ( )

  102. T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori.
    Spatial Distribution of Electron Density on DC-Superposed Dual-frequency Capacitively Coupled Plasma(DS-CCP),
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. P1-20 ( )

  103. R. Kometani, S. Chen. Y. Lu, K. Ishikawa, K. Takeda, H. Kondo, T. egawa, H. Amano, M. Sekine, M. Hori.
    Investigation of GaN Exposed to Plasma at High Temperature,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. P1-21 ( )

  104. K. Takeda, M. Hori.
    High Performances of Si Oxidations Employing O2/Ar Surface Wave Excited Plasma and the Clarification of their Mechanisms with Plasma Diagnostics,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. P2-13 ( )

  105. T. Urakawa, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setushara, M. Sekine, M. Hori.
    Optical emission spectroscopy of Ar+H2+C7H8 discharges for anisotropic plasma CVD of carbon,
    33rd International Symposium on Dry Process (DPS), Kyoto Garden Place Hotel, Kyoto, November 10-11, 2011. P2-17 ( )

  106. T. Hayashi, K. Ishikawa, M. Sekine, M. Hori, A. Kono, K. Suu.
    Quantum chemical inestigation for Chemical dry etching by flowing NF3 into H2 down flow plasma,
    64th Annual Gaseous Electronics Conference (GEC), Salt Lake City, Utah, USA, November 14-18, 2011. QRP1-12 ( )

  107. Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori, A. Yamazaki, A. Ito, H. Matsumoto.
    Mechanism of highly Selective SiO2 Etching over Si using New Alternative Gas-C5HF7,
    64th Annual Gaseous Electronics Conference (GEC), Salt Lake City, Utah, USA, November 14-18, 2011. NR16 ( )

  108. T. Takeuchi, S. Amasaki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Study on modification process of photoresist by fluorocarbon ions and radicals,
    64th Annual Gaseous Electronics Conference (GEC), Salt Lake City, Utah, USA, November 14-18, 2011. NR15 ( )

  109. Y. Abe, A. Fukushima, Y. Lu, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Behavior of radicals in SiH4/H2 plasma for fabrication of solar cell using silicon thin film,
    64th Annual Gaseous Electronics Conference (GEC), Salt Lake City, Utah, USA, November 14-18, 2011. ET14 ( )

  110. M. Shiratani, T. Urakawa, D. Yamashita, K. Kamataki, N. Itagaki, G. Uchida, K. Koga, Y. Setsuhara, M. Sekine, M. Hori.
    Deposition profile control of carbon films on trenched substrate by simulataneous plasma CVD and plasma etching,
    64th Annual Gaseous Electronics Conference (GEC), Salt Lake City, Utah, USA, November 14-18, 2011. ET11 ( )

  111. M. Inoue, T. Ohta, T. Kanae, M. Ito, M. Hori.
    Kinetics of metastable He atom middle pressure in micro hollow cathode discharge,
    64th Annual Gaseous Electronics Conference (GEC), Salt Lake City, Utah, USA, November 14-18, 2011. DT37 ( )

  112. T. Hiraoka, N. Ebizuka, K. Takeda, T. Ohta, H. Kondo, K. Ishikawa, K. Kawase, M. Ito, M. Sekine, M. Hori.
    Evalutaion of Penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma by terahertz time-domain spectroscopy,
    64th Annual Gaseous Electronics Conference (GEC), Salt Lake City, Utah, USA, November 14-18, 2011. DT27 ( )

  113. H. Kondo, H. J. Cho, T. Kanda, M. Hori, M. Hiramatsu.
    Electric and Crystallographic Structures of Carbon Nanowalls,
    2011Fall Meeting of Material Research Society (MRS) , Hynes Convention Center, Boston, USA, November 28-December 2, 2011. AA15. 91 ( )

  114. Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori.
    Surface and Interface Analysis for Plasma Processing,
    4th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Science Exchange Plaza, Nagoya Science Park, Nagoya, Japan, December 1, 2011.   ( )

  115. Keigo Takeda, Masanori Kato, Kenji Ishikawa, Makoto Sekine, Masaru Hori.
    Quantitatively Investigation of Activated Species Generated by AC Excited Nonequilibrium Atmospheric Pressure Plasma,
    4th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Science Exchange Plaza, Nagoya Science Park, Nagoya, Japan, December 1, 2011.   ( )

  116. M. Suzuki, E. Murakami, K. Kawata, K. Takeda, M. Hori.
    Improvement of Polymer Electrode Fuel Cell Performance Using Atmospheric-Pressure Plasma Processing to Gas Diffusion Layer,
    エコトピア科学に関する国際シンポジウム (ISETS 11), Nagoya University, Japan, December 9-10, 2011.   ( )