Invited talk

  1. M. Sekine, Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori (INVITED )
    Plasma nano-interface with organic materials for surface-roughness formation,
    9th EU-Japan Joint Symposium on Plasma Processing, Bohinj Park ECO Hotel, Bohinjska Bistrica, Slovenia, January 19-23, 2014. 

  2. K. Takeda, M. Hori (INVITED )
    Diagnostics of AC Excited Non-equlibrium Atmospheric Pressure Ar PlasmaJet for Plasma Biomedical Applications,
    9th EU-Japan Joint Symposium on Plasma Processing, Bohinj Park ECO Hotel, Bohinjska Bistrica, Slovenia, January 19-23, 2014. 

  3. M. Hori (INVITED )
    Challenge to Plasma Medical Science towards the Fourth Treatment of Cancers,
    18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics, Fukuoka Japan, February 7-8, 2014. 04aA05K

  4. Y. Setsuhara, G. Uchida, K. Kawabata, A. Miyazaki, K. Takenaka, K. Takeda, K. Ishikawa, M. Hori (INVITED )
    Dynamics and Reactive Particle Generation in Atmospheric-Pressure Discharge as a Basis for Plasma Medicine,
    18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics, Fukuoka Japan, February 7-8, 2014. Feb. 7 16:10 - 16:35

  5. K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori (INVITED )
    Behaviors of activated species in SiH4/H2 plasma for µc-Si:H thin film deposition,
    18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics, Fukuoka Japan, February 7-8, 2014. Feb. 8 14:55 - 15:20

  6. K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori (INVITED )
    Plasma Induced Surface Roughness of Polymeric Materials,
    18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics, Fukuoka Japan, February 7-8, 2014. Feb. 8 9:50 - 10:15

  7. T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Hori, M. Ito (INVITED )
    Control of cellular activities by oxygen radical treatment,
    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation"- 8th ICRP/31th SPP, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 3B-WS-04

  8. K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori (INVITED )
    Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications,
    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation"- 8th ICRP/31th SPP, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 3B-WS-08

  9. K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori (INVITED )
    Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma,
    International workshop on control of fluctuation of plasma processes -Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation"- 8th ICRP/31th SPP, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 3B-WS-07

  10. M. Hori (PLENARY )
    Radical-controlled plasma processing towards global innovations,
    8th International Conference on Reactive Plasmas, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. Feb. 4, 10:20-11:20

  11. Masaru Hori (KEYNOTE )
    Challenge to Plasma Medical Science towards the Fourth Treatment of Cancers,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology and Science (ISPlasma2014/IC-PLANTS2014), Meijo University, Nagoya, Japan, March 2-6, 2014 . March 4 10:45-11:20

  12. Masaru Hori (INVITED )
    Challenge for plasma medical science and innovations,
    17th Biennial Meeting of Society for Free Radical Research International (SFRRI 2014), Kyoto International Conference Center, Kyoto, Japan, March 23-26, 2014. B2-24

  13. H. Kajiyama, K. Nakamura, F. Utsumi, H. Tanaka, M. Hori, F. Kikkawa (INVITED )
    Future Perspective of Strategic Plasma Therapy for Refractory Epithelial Ovarian Cancer,
    International Workshop on Plasmas for Cancer Treatment (IWPCT), Washington DC, USA, March 25-26, 2014. March 25 9:30 - 10:00

  14. H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori (INVITED )
    Intracellular Molecular Mechanisms of Apoptosis in Cancer Cells by Plasma-Activated Medium,
    International Workshop on Plasmas for Cancer Treatment (IWPCT), Washington DC, USA, March 25-26, 2014. March 26 9:00 - 9:30

  15. Hiroki Kondo (INVITED )
    Advanced plasma-nano processes for controls of crystallographic and electrical properties of carbon nanomaterials,
    The International Symposium on Plasma-Nano Materials and Processes, Riverside Hotel, Seoul, Korea, April 1-5, 2014. April 3, 14:30~15:00

  16. Keigo Takeda (INVITED )
    Control of Etching Process based on Real-time Monitoring of Atomic Radical Density and Wafer Temperature,
    The International Symposium on Plasma-Nano Materials and Processes, Riverside Hotel, Seoul, Korea, April 1-5, 2014. April 3, 15:00~15:30

  17. Masaru Hori (INVITED )
    Challenge of plasma nanotechnology for future industry,
    The International Symposium on Plasma-Nano Materials and Processes, Riverside Hotel, Seoul, Korea, April 1-5, 2014. April 3, 10:20~11:10

  18. Kenji Ishikawa (INVITED )
    Ultra high density atmospheric plasma source,
    The International Symposium on Plasma-Nano Materials and Processes, Riverside Hotel, Seoul, Korea, April 1-5, 2014. April 3, 14:00~14:30

  19. Masaru Hori (INVITED )
    Challenge for Plasma Life Science and Innovations,
    International Seminar, Sungkyunkwan University, Korea, April 15, 2014. 

  20. Kenji Ishikawa, Yusuke Abe, Atsushi Fukushima, Ya Lu, Sho Kawashima, Keita Miwa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori (INVITED )
    Diagnostics of SiH4/H2 Plasma and Surface Reaction in Microcrystalline Silicon Deposition,
    The International Conference on Metallurgical Coatings and Thin Films (ICMCTF), San Diego, CA USA, April 28-May 2, 2014. B2-7

  21. M. Hori (PLENARY )
    Bridge the Gap between Plasma and Medical Sciences towards Future Medical Care,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 19-PL01

  22. K. Ishikawa, N. Kurake, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takada, H. Kondo, M. Sekine, M. Hori (INVITED )
    Electron Spin Resonance Study of Plasma-Liquid Medium Interactions,
    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), Nara, Japan, May 23-24, 2014. 3-4I

  23. Masaru Hori, Hiroki Kondo, and Akiko Kobayashi (INVITED )
    In situ analysis of the surface reactions in PE-ALD SiO films for advanced litho applications,
    14th International Conference on Atomic Layer Deposition, Hotel Granvia Kyoto, Kyoto, Japan, June 15-18, 2014. Session 7A

  24. K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori (INVITED )
    Behaviors of hydrogen atom and SiH3 radical in SiH4/H2 plasma measured with absorption spectroscopy
    6th International Workshop on Plasma Spectroscopy, Stevens Institute of Technology, Hoboken, New Jersey, USA, June 15-18, 2014

  25. M. Hori (INVITED )
    The History and Research Activity of Plasma Nano Technology in Nagoya University,
    1st international workshop on plasma-enhanced atomic layer deposition, Nagoya University, Nagoya, Japan, June 19, 2014. 12:30-13:30

  26. Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, Fumitaka Kikkawa (INVITED )
    Cancer Treatments using Plasma-Activated Medium,
    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Gunsan, Korea, July 6-7, 2014. 15:30-16:00, July 06, 2014

  27. Hiroki Kondo, Mineo Hiramatsu, and Masaru Hori (INVITED )
    Nano-Bio applications of carbon-nano materials synthesized and modified by plasma,
    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Gunsan, Korea, July 6-7, 2014. 16:30-17:00, July 06, 2014

  28. Masaru Hori (INVITED )
    Optical method for radical density measurement,
    5th International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014), Gunsan, Korea, July 8-11, 2014. 14:00-15:00, July 7, 2014

  29. M. Hori, M. Tanaka, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, F. Kikkawa, K. Nakamura, H. kajiyama, and M. Mizuno (INVITED )
    DAWING OF PLASMA LIFE SCIENCES ~ DIAGNOSTICS AND CONTROL OF REACTIVE SPECIES IN PLASMA BIO PROCESSING ~,
    4th International Symposium for Plasma Biosciences, Delpino Resort, Sokcho, Gangwon-do, Korea, August 17-20, 2014. 14:55-, Aug. 18, 2014

  30. Hiroki KONDO, Mineo HIRAMATSU, Masaru HORI (INVITED )
    Controlled Synthesis of Carbon Nanomaterials Employing Plasma-Enhanced Chemical Vapor Deposition for Future Green Energy Applications,
    IUMRS - The 15th International Conference in Asia (IUMRS-ICA2014),, Fukuoka Univerrsity, Japan, August 24-30, 2014. D2-I25-010

  31. Masaru Hori (PLENARY )
    Advanced Plasma Diagnostics in Plasma Processing Science and Technology ~Comprehensively understanding of plasma processes from gas phase to surface reactions~,
    14th International Conference on Plasma Surface Engineering (PSE2014), Garmisch-Partenkirchen, Germany, September 15-19, 2014. PL0004

  32. M. Hori (KEYNOTE )
    Radical-Controlled Plasma Nanoprocesses towards Global Innovations,
    5th international conference on plasma nanoscience (iPlasmaNano-Ⅴ), Torremolinos, Malaga, Spain, September 28-October 2, 2014. W1-2

  33. Masaru Hori (INVITED )
    Challenge of Carbon Nanowalls for Future Nanodevices,
    International Symposium on Materials for Enabling Nanodevices (ISMEN2014), National Cheng Kung University, Tainan, Taiwan, September 3-5, 2014. 11:20-12:00

  34. K. Ishikawa (INVITED )
    Diagnostics of plasma-surface interactions in plasma processes,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, NC, USA, November 3-7, 2014. KW1.1

  35. Masaru Hori (PLENARY )
    Plasma Science and Technology Enabling Disruptive Innovations Towards Global Creation,
    Korean Association of Global RandD Centers (GRDC) Symposium 2014 Innovative Science and Enginnering for Creative Economic Ecosystems, Konkuk University, Seoul, Korea, November 10-11, 2014. 11-14

  36. Masaru Hori (PLENARY )
    Plasma Medical Science Innovation and Future Prospective,
    PLASMA CONFERENCE 2014, TOKI messe, Niigata, Japan, November 18-21, 2014. 20aA-1



General

  1. T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Hori, M. Ito.
    Control of cellular activities by oxygen radical treatment,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 3B-WS-04 (ORAL )

  2. K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori.
    Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 3B-WS-07 (ORAL )

  3. K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori.
    Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 3B-WS-08 (ORAL )

  4. M. Hiramatsu, H. Kondo, M. Hori.
    Carbon nanowalls: plasma synthesis and applications,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 3C-WS-07 (ORAL )

  5. H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, and M. Hori.
    Survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014 . 4A-PM-05 (ORAL )

  6. M. Sekine, Y. Zhang, T. Takeuchi, H. Nagano, K. Ishikawa, K. Takeda, H. Kondo, and M. Hori.
    Effect of HBr plasma cure for reduction of roughness formation on ArF photoresist surface during plasma etching process,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 4B-PM-03 (ORAL )

  7. K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, and M. Hori.
    Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 5A-PM-01 (ORAL )

  8. H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, and M. Ito.
    Fluorescent and structural observations of P. digitatum spores inactivated with oxygen radical treatment,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 5A-PM-02 (ORAL )

  9. T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori.
    Rapid non-contact measurements of heat fluxes to substrate in nitorogen plasmas,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 5B-PM-02 (ORAL )

  10. H. Kondo, L. Jia, D. Xu, M. Nakamura, K. Ishikawa, M. Sekine, M. Hori.
    Effect of spiral microwave antenna configuration on the production of nano-crystalline film by chemical sputtering in ECR plasma,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 4P-PM-SPD-P05 (POSTER )

  11. T. Ohta, Hiroki Kato, T. Tsutsumi, K. Takeda, M. Hori, M. Ito.
    Accuracy of substrate temperature measurements using optical low coherence interferometry,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 5P-AM-S02-P04 (POSTER )

  12. K. Takeda, F. Jia, K. Ishikawa, H. Kano, M. Sekine, M. Hori.
    Effect of gas flow on spatial distribution of O (3Pj) atoms in ac power excited on-equilibrium atmospheric pressure O2/Ar plasma jet,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 5P-AM-S02-P09 (POSTER )

  13. R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori.
    Nucleation control of carbon nanowalls for device application,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014 . 5P-PM-S08-P09 (POSTER )

  14. X. Dong, K. Koga, D. Yamashita, H. Seo, N. Itagaki, M. Shiratani, K. Takenaka, Y. Setsuhara, M. Sekine, M. Hori.
    Pressure dependence of carbon film deposition using H-assisted plasma CVD,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 5P-PM-S08-P14 (POSTER )

  15. H. Kato, T. Ohta, K. Takeda, H. Hashizume, M. Hori, M. Ito.
    Vibrational analysis of biological samples using multiplex coherent anti-stokes ramanscattering microspectroscopy using multiplex coherent anti-Stokes Raman scattering microspectroscopy,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 5P-PM-S12-P35 (POSTER )

  16. T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito.
    Effects of oxygen radical treatment in liquid phase on inactivation of microorganism,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 5P-PM-S12-P36 (POSTER )

  17. H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori, H. Toyoda.
    Meter-Scale Production of Atmospheric Pressure Microwave Plasma by Travelling Wave,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 6P-AM-S01-P08 (POSTER )

  18. M. Sekine, Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kano, K. Takeda, H. Kondo, and M. Hori.
    Recovery of Plasma-induced Damage in GaN by In situ Radical Exposure,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 6P-AM-S06-P18 (POSTER )

  19. Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori.
    Fabrication of graphene films using microwave plasma-enhanced CVD in surface wave mode,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 6P-AM-S08-P28 (POSTER )

  20. S. Tajima, M. Sekine, H. Hashizume, M. Ito, T. Ohta, K. Takeda, K. Ishikawa, and M. Hori.
    Isolation of neutral species generated from the Ar/O2 non-equilibrium atmospheric-pressure micro hollow-cathode discharge for the modification of the A549 cells,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 6P-AM-SFD-P06 (POSTER )

  21. S. Tajima, T. Hayashi, K. Yamakawa, K. Ishikawa, M. Sasaki, S. Den, M. Sekine, M. Hori.
    Evaluation of the loss of F during the Si chemical dry etching using the reaction of F2 + NO → F + FNO,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 6P-AM-SPD-P05 (POSTER )

  22. J. Jolibois, J. Kularatne, H. Kano, M. Ito, M. Hiramatsu, H. Kondo, K. Ishikawa, M. Hori.
    Large-scale synthesis of nanographene using in-liquid plasma and chemical reduction process,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 6P-PM-S09-P11 (POSTER )

  23. J. Kularatne, J. Jolibois, . Ohta, M. Ito, H. Kano, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Effect of Power supply on metal emission intensity induced by non-equilibium atmospheric pressure plasma,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 6P-PM-S09-P12 (POSTER )

  24. G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, Y. Setsuhara, M. Hori.
    Optical emission characteristics of atmospheric-pressure plasma jet for plasma biomedicine,
    8th International Conference on Reactive Plasmas and 31st Symposium on Plasma Processing, Fukuoka Convention Center, Fukuoka, Japan, February 3-7, 2014. 6P-PM-S09-P17 (POSTER )

  25. Y. Lu, K. Ishikawa, H. Kondo, O. Oda, M. Sekine, M. Hori.
    Study on a novel radical-enhanced metalorganic chemical vapor deposition (REMOCVD) for GaN epitaxial growth,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pC12O (ORAL )

  26. H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori.
    Control of Edge and Surface Oxidation of Carbon Nanowalls by Argon Ion Irradiation,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pD08O (ORAL )

  27. T. Amano, K. Takeda, H. Kondo, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine, M. Hori.
    Electro catalytic properties of Pt-supported nanographene synthesis using in-liquid plasma,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 05aB06O (ORAL )

  28. Y. Miyawaki, K. Ishikawa, Y. Kondo, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori.
    Observation of Dangling Bonds in Silicon Nitride Films during Exposure of F Atoms At Down-Flow of CF4 Plsmas Using Real-time / in-situ Electron Spin Resonance,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aB02O (ORAL )

  29. R. Shimane, S. Kumagai, H. Hashizume, T. Ohta, M. Ito, M. Hori, M. Sasaki.
    MEMS Nozzle for Localized Irradiation of Atmospheric Pressure Plasma Trapping Micro-Samples,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aB06OLN (ORAL )

  30. A. Ando, T. Takeda, H. Kondo, K. Ishikawa, M. Sekine T. Ohta, M. Ito, M. Hiramatsu, H. Kano, M. Hori.
    Spectroscopic analysis of gas-liquid plasma for nanographene synthesis,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aD03O (ORAL )

  31. J. S. Lee, J. X. Piao, Y. S. Choi, K. Takeda, J. G. Han, M. Hori.
    Synthesis of Durable Hydrophobic Film by Control of Radicals in PECVD,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aD04OLN (ORAL )

  32. H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori.
    Plasma-activated medium downregulated signaling pathways that control proliferation and survival in glioblastoma brain tumor cells
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. (ORAL )

  33. D. Yasumastu, H. Matsuyama, S. Kumagai, K. Takeda, M. Hori, M. Sasaki.
    Length Effect of Floating Wire Electrode in Transportable 144MHz Inductively Coupled Micro-Plasma Source,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pP07 (POSTER )

  34. S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori.
    Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pP15 (POSTER )

  35. H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori, H. Toyoda.
    Production of High-Uniform Microwave Line Plasma at Atmospheric Pressure,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pP22 (POSTER )

  36. S. Nakano, H. Suzuki, H. Itoh, M. Sekine, M. Hori, H. Toyoda.
    Spatiotemporal Resolved Investigations of Atmospheric Pressure Line Plasma,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pP23 (POSTER )

  37. T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, M. Hori.
    Measurement of Heat Fluxes of Si Substrate from Plasma by Using Frequency Low CoherenceInterferometer,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pP29 (POSTER )

  38. Y. Kashima, M. Hiramatsu, H. Kondo, M. Hori.
    Fabrication of graphene films on Ni layer by microwave plasma-enhanced CVD,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pP38 (POSTER )

  39. R. Tsukada, M. Hiramatsu, H. Kondo, M. Hori.
    Nucleation control of carbon nanowalls using plasma-enhanced CVD with re-duced ion bombardment,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pP42 (POSTER )

  40. M. Tomatsu, R. Tsukada, M. Nagashima, M. Hiramastu, H. Kondo, M. Hori.
    Biosensing properties of carbon nanowalls grown using plasma enhanced CVD,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 03pP51LN (POSTER )

  41. H. Kato, T. Ohta, K. Takeda, H. Hashizume , M. Hori M. Ito.
    Vibrational analysis of biological samples treated with atmospheric pressure plasma using multiplex coherent anti-Stokes Raman scattering microspectroscopy,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 04pP05 (POSTER )

  42. J. Kularatne, T. Ohta, J. Jolibois, M. Ito, H. Takemura, H. Kano, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Emission charateristics of metallic elements in solution using non-equilibrium atmospheric pressure microplasma,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 04pP07 (POSTER )

  43. T. Towatari, H. Hashizume, T. Ohta, M. Hori, M. Ito.
    Effects of Oxygen Radical Treatment in Liquid Phase on Inactivation of E. coli,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 04pP17 (POSTER )

  44. H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito.
    Fluoescent analysis of inactivation mechanism of P. digitatum spores treated with oxygen radicals,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 04pP18 (POSTER )

  45. H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito.
    Nanostructural observation of P. digitatum spores on the inactivation process with oxygen radical treatment,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 04pP19 (POSTER )

  46. H. Hashizume, T. Ohta, M. Hori, M. Ito.
    Promotion and repression effects of oxygen radical treatment on budding yeast cells,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 04pP20 (POSTER )

  47. G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, Y. Setsuhara, M. Hori.
    Spatial profiles of emission spectra from atmospheric-pressure plasma jet for plasma medicine,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 04pP27 (POSTER )

  48. K. Yoshida, M. Ito, M. Hiramatsu, T. Saida, H. Kano, H. Kondo, M. Hori.
    Synthesis of nanographene/Pt composite using alcohol in-liquid plasma,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 04pP72LN (POSTER )

  49. D. Xu, L. Jia, M. Nakamura, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Effect of Gas Flow Rate on Crystalline Structures of Amorphous Carbon Films Employing Radical-Injection Plasma-Enhanced Chemical Vapor Deposition,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 05pP34 (POSTER )

  50. K. Miwa, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Silicon thin film solar cells fabricated by H radical injection plasma,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 05pP49 (POSTER )

  51. H. R. Kim, J. B. Kim, Y. Choi, M. Hori, J. G. Han.
    Deposition of AZO Thin Film using RF and ICP Plasma at Facing Magnetron Sputtering System,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 05pP70 (POSTER )

  52. M. Nakamura, L. Jia, D. Xu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Effects of Low RF Bias Power on Optoelectrical Properties of Amorphous Carbon Films Grown byPlasma-Enhanced Chemical Vapor Deposition,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 05pP71 (POSTER )

  53. L. Jia, D. Xu, M. Nakamura,K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Effects of residence time on electronic and electricalthe optical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 05pP72 (POSTER )

  54. J. B. Kim, K. S. Shin, J. S. Lee, H. Suzuki, M. Hori, J. G. Han.
    Electrical Optical and Structural properties of Al doped ZnO films prepared by mirror type facing target sputtering,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 05pP73LN (POSTER )

  55. T. Kako, Z. Liu, K. Ishikawa, K. Takeda, H. Kondo, O. Oda, M. Sekine, M. Hori.
    A high temperature etching of GaN employing CH4/H2 gases,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aP02 (POSTER )

  56. Y. Kondo, Y. Miyawaki, K. Takeda, S. Tajima, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori.
    Mechanism of Generating Active Species and Etch Reaction in CHxFy Plasma,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aP03 (POSTER )

  57. Z. Liu, T. Kako, K. Ishikawa, O. Oda, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    GaN etching at high temperature employing N2 added Cl2 Plasma,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aP04 (POSTER )

  58. J. Jolibois, J. Kularatne, H. Kondo, M. Ito, H. Kano, K. Ishikawa, M. Hiramatsu, M. Hori.
    Effect of Ar flow rate on the synthesis of nanographene produced from in-liquid plasma,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aP12 (POSTER )

  59. H. Takemura, T. Ohta , M. Ito , H. Kano, Y. Higashijima, G. Piao, O. Oda, M. Hori.
    Detection Method for Metallic Elements in Fishes using Non-Equilibrium Atmospheric Pressure Microplasma,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aP26 (POSTER )

  60. S. Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Sasaki, K. Yamakawa, M. Hori.
    The relationship between the pressure and the Si etch rate using the reaction of F2 + NO → F + FNO,
    6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Meijo University, Nagoya, Japan, March 2-6, 2014. 06aP82LN (POSTER )

  61. Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito.
    Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma,
    17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014), Kyoto, Japan, March 22-25, 2014. P186  (POSTER )

  62. Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    Electron spin resonance study of radical generation during non-thermal plasma blood coagulation,
    17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014), Kyoto, Japan, March 22-25, 2014. P271  (POSTER )

  63. Yasumasa Okazaki, Yue Wang, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, Masaru Hori, Shinya Toyokuni .
    Non-equilibrium atmospheric pressure plasma (NEAPP) generates oxidative injury ,
    17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014), Kyoto, Japan, March 23-26, 2014. P152  (ORAL )

  64. K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, H. Kano, Y. Nakai, M. Sekine, M. Hori.
    Charactaristics of AC excited Non-equilibrium Atomospheric Pressure Helium Plasma Jet for Medical Application,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 20-P11-04 (POSTER )

  65. H. Uchiyama, Z. Qing-Li, N. Nojima, K. Takeda, K. Ishikawa, M. Hori. T. Kondo.
    Free Radical Generation by Cold Atmospheric Argon Plasma in Aqueous Solutions. An ESR Spin Trapping Study.,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 20-P08-06 (POSTER )

  66. D. Yamagami, M. Ueda, S. Kamino, K. Watanabe, M. Hori, K. Ishikawa, Y. Ikehara, H. Sakakita, S. Enomoto.
    Histological comparison of the wound healing process between non-thermal plasma hemostasis and thermal coagulation hemostasis,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 20-P05-01 (POSTER )

  67. T. Towatari, H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito.
    Inactivation of microorganism in liquid treated with neutral reactive oxygen species,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 20-P03-03 (POSTER )

  68. T. Ohta, H. Kato, K. Takeda, M. Ito, M. Hori.
    Molecular structure of microorganisms measured by multiplex coherent anti-Stokes Raman scattering microspectroscopy,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 19-P11-05 (POSTER )

  69. Y. Okazaki, Y. Wang, H. Tanaka, M. Mizuno, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori, S. Toyokuni.
    Non-equilibrium atmospheric pressure plasma (NEAPP) generates oxidative injury,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 19-P10-06 (POSTER )

  70. G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, Y. Setsuhara, K. Takeda, K. Ishikawa, M. Hori.
    Charactaristics of Reactive Particle Production in Atmospheric Pressure DBD Plasma Jet,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 19-P08-09 (POSTER )

  71. H. Hashizume, T. Ohta, M. Hori, M. Ito.
    Proliferation mechanism of budding yeast cells eith oxygen radical treatment,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 19-P02-17 (POSTER )

  72. K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori.
    Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 23-AO01 (ORAL )

  73. Y. Setsuhara, G. Uchida, K. Takenaka, A. Miyazaki, K. Kawabata, K. Takeda, K. Ishikawa, M. Hori.
    Behaviors of Atmospheric-Pressure Discharge and its Interaction with Soft Materials as a Basis for Plasma Medicine,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 20-BO01 (ORAL )

  74. H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito.
    Inactivation process of P. digitatumspores evaluated by dose of ground-state oxygen,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 19-BO12 (ORAL )

  75. H. Kajiyama, K. Nakamura, F. Utsumi, H. Tanaka, M. Hori, F. Kikkawa.
    New strategic plasma therapy for advanced and/or refractory epithelial ovarian cancer,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 21-Al02 (ORAL )

  76. K. Ishikawa, N. Kurake, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo, M. Sekine, and M. Hori.
    Electron Spin Resonance Study of Plasma-Activated-Medium,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 19-P09-05 (POSTER )

  77. H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori.
    Signaling circuits that are affected by plasma-activated medium in brain tumor cells,
    5th International Conference on Plasma Medicine (ICPM5), Nara Prefectural Public Hall, Nara, Japan, May 18-23, 2014. 20-P13-02 (POSTER )

  78. H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito.
    Quantitative evaluation of the inactivation process of P. digitatum spores on the basis of dose of ground-state atomic oxygen,
    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), Nara, Japan, May 23-24, 2014. P13 (POSTER )

  79. H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori.
    Diagnostics of intracellular signaling systems of glioblastoma brain tumor cells treated with plasma-activated medium,
    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), Nara, Japan, May 23-24, 2014. P12 (POSTER )

  80. S. Tajima, H. Hashizume, M. Ito, T. Ohta, K. Takada, K. Ishikawa, M. Sekine, M. Hori.
    The Effect of Neutral Species on Modification of the A549 and Saos-2 Growth and Proliferation,
    International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014), Nara, Japan, May 23-24, 2014. P02 (POSTER )

  81. Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    Study on Reaction Mechanism of Plasma-Enhanced Atomic Layer Deposition of SiO2 Films by In-Situ Fourier Transform Infrared Spectroscopy,
    14th International Conference on Atomic Layer Deposition, Hotel Granvia Kyoto, Kyoto, Japan, June 15-18, 2014. Session 6A (ORAL )

  82. Y. Kiheda, H. Kano, H. Kondo, M. Hori, H. Amano, M. Hiramatsu.
    High Density Radical Source,
    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Gunsan, Korea, July 06-07, 2014. P13 (POSTER )

  83. Yosuke Mori, Keisuke Nishida, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito.
    Inactivation of Aspergillus Niger and flavus spores using atmospheric-pressure radical source
    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Gunsan, Korea, July 06-07, 2014. (ORAL )

  84. Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect
    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Gunsan, Korea, July 06-07, 2014. (ORAL )

  85. Takumi Kumakura, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, Masaru Hori.
    Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Application
    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Gunsan, Korea, July 06-07, 2014. (ORAL )

  86. Jun Kobayashi, Keisuke Yamauchi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito.
    Growth-activation of budding yeast cells using oxygen radical source
    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Gunsan, Korea, July 06-07, 2014. (ORAL )

  87. Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori.
    Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas
    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Gunsan, Korea, July 06-07, 2014. (ORAL )

  88. Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori.
    Surface Modifications of Photoresist Polymers under HBr Plasma Emitted Photon Irradiations
    19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics and 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Gunsan, Korea, July 6-7, 2014. (ORAL )

  89. Hiroki Kondo, Tomoaki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Masafumi Ito, and Mineo Hiramatsu.
    Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma,
    5th International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014), Gunsan, Korea, July 8-11, 2014. MP-ECS-TuA-5 (ORAL )

  90. Masaru Hori, Jaybum Kim, Subong Jin, Bibhuti Bhusan Sahu, and Jeongeon Han.
    Advanced transparent conductive Al doped ZnO film deposition using ICP assisted facing target sputtering,
    5th International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014), Gunsan, Korea, July 08-11, 2014. MP-NGD-WeM-6 (ORAL )

  91. Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    Temporal Changes in H and N Atom Densities in Plasmas Caused by Reactor Surface Modifications
    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG), Greifswald, Germany, July 15-19, 2014. (POSTER )

  92. Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique
    The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG), Greifswald, Germany, July 15-19, 2014. (POSTER )

  93. Kenji Ishikawa, Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori.
    Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique,
    Plasma Processing Science (Gordon Research Conference), Bryant University, Smithfield, RI, USA, July 27-August 1, 2014. 24 (POSTER )

  94. Jerome Jolibois, Jagath Kularatne, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori.
    Effect of Carrier Gas on Graphene Synthesized from in-Liquid Plasma,
    IUMRS - The 15th International Conference in Asia (IUMRS-ICA2014), Fukuoka University, Japan, August 24-30, 2014. D2-O26-009 (ORAL )

  95. Hiroki Kondo, Hironao Shimoeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori.
    Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals,
    14th International Conference on Plasma Surface Engineering (PSE2014), Garmisch-Partenkirchen, Germany, September 15-19, 2014. PO4084 (POSTER )

  96. Masaru Hori, HIROKI KONDO, Mineo Hiramatsu.
    Surface modification of carbon nanowalls for their nano-bio applications,
    14th International Conference on Plasma Surface Engineering (PSE2014), Garmisch-Partenkirchen, Germany, September 15-19, 2014. PO4010 (POSTER )

  97. Giichiro Uchida, Kosuke Takenaka, Kazufumi Kawabata, Atsushi Miyazaki, Yuichi Setsuhara, Keigo Takeda, Kenji Ishikawa, Masaru Hori.
    Discharge Properties and Radical-Generation Chracteristics in DBD Plasma Jet,
    14th International Conference on Plasma Surface Engineering (PSE2014), Garmisch-Partenkirchen, Germany, September 15-19, 2014. PO1054 (POSTER )

  98. Jun Suck Lee, JayBum Kim, Su B. Jin, B. B. Sahu, Jeon G. Han, H. Kondo, M. Hori.
    Diagnostic studies for synthesis of Al doped ZnO film by magnetron sputtering,
    14th International Conference on Plasma Surface Engineering (PSE2014), Garmisch-Partenkirchen, Germany, September 15-19, 2014. PO1010 (POSTER )

  99. M. Ito, H. Hashizume, T. Ohta, M. Hori.
    Quantitative inactivation-mechanisms of P. digitatum and A. niger spores based on atomic oxygen dose,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, USA, November 2-7, 2014. DT3.00004 (ORAL )

  100. K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, M. Hori.
    Vacuum ultraviolet spectroscopic analysis of AC excited non-equilibrium atmospheric pressure Ar plasma jet,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, USA, November 2-7, 2014. ET1.00005 (ORAL )

  101. Hiroki Kondo, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu.
    Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, USA, November 2-7, 2014. FT3.00003 (ORAL )

  102. Mineo Hiramatsu, Masakazu Tomatsu, Hiroki Kondo, Masaru Hori.
    Growth of graphene-based films using aftergrow of inductively coupled plasma,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, USA, November 2-7, 2014. FT3.00004 (ORAL )

  103. M. Sekine, Y. Kondo, Y. Miyawaki, K. Ishikawa, T. Hayashi, K. Takeda, H. Kondo, M. Hori.
    Ion densities of CH2F+ and CHF+2 generated by dissociative ionization of charge exchange collisions in Ar or Kr diluted CH2F2 Plasmas,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, USA, November 2-7, 2014. MW1.00060 (POSTER )

  104. M. Sekine, Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori.
    Roughness formation on photoresist during etching examined by HBr plasma-beam,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, USA, November 2-7, 2014. MW1.00061 (POSTER )

  105. T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Ito, M. Hori.
    Multiplex coherent anti-Stokes Raman scattering microspectroscopy for monitoring molecular structural change in biological samples,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, USA, November 2-7, 2014. MW1.00065 (POSTER )

  106. M. Hori, K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, Y. Nakai.
    Diagnostics of AC excited Atmospheric Pressure Plasma Jet with He for Biomedical Applications,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, USA, November 2-7, 2014. MW1.00069 (POSTER )

  107. H. Toyoda, H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori.
    Pseudo-continuous meter-scale microwave plasma production under atmospheric pressure,
    67th Annual Gaseous Electronics Conference (GEC), Raleigh, USA, November 2-7, 2014. SF2.00005 (POSTER )

  108. K. Takeda.
    Behaviors of Hydrogen Atom and Film Precursor in SiH4/H2 Plasma for Silicon Thin Film Deposition mesured by absorption spectroscopy,
    Nagoya University (NU) and Sungkyunkwan University (SKKU) Joint Symposium 2014, Suwon, Korea, November 26-27, 2014. PLASMA 28 (ORAL )

  109. Z. Liu, J. Pan, K. Ishikawa, K. Takeda, H. Kondo, O. Oda, M. Sekine, M. Hori.
    Damage-formations in GaN processed at high temperatures,
    36th International Symposium on Dry Process (DPS), PACIFICO ,Yokohama,Japan, November 27-28, 2014. F-4 (ORAL )

  110. Yan Zhang, Kenji Ishikawa, Makoto Sekine, Masanaga Fukasawa, Kazunori Nagahata, Shigetaka Tomiya, Tetsuya Tatsumi, Keigo Takeda, Hiroki Kondo, Masaru Hori.
    Surface Modifications of Photoresist Polymers under Photon Irradiations Emitted from HBr Plasma,
    36th International Symposium on Dry Process (DPS), PACIFICO ,Yokohama,Japan, November 27-28, 2014. D-3 (ORAL )

  111. T. Maruyama, H. Kondo, A. Kozawa, T. Saida, S. Naritsuka, S. Iijima.
    Growth Mechanism of Single-Walled Carbon Nanotubes from Pt Catalysts by Alcohol Catalytic CVD,
    2014 Fall Meeting Materials Research Society (MRS), Boston, Massachusetts, USA, November 30-December 5, 2014. MM3.02 (ORAL )

  112. H. Kondo, M. Hiramatsu, M. Sekine, M. Hori.
    Plasma-Enhanced Synthesis and Esge-Modification of Carbon Nanowalls for Nano-Bio Applications,
    2014 Fall Meeting Materials Research Society (MRS), Boston, Massachusetts, USA, November 30-December 5, 2014. 11:00 AM G3.06 (ORAL )

  113. M. Hori, N. Kurake, K. Ishikawa, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo, and M. Sekine.
    Electron Spin Resonance Study of Plasma Activated Media (PAM),
    2014 Fall Meeting Materials Research Society (MRS), Boston, Massachusetts, USA, November 30-December 5, 2014. 3:45 PM G1.04 (ORAL )