Invited talk

  1. Hiroshi Hashizume, Takayuki Ohta, Masafumi ito, Keigo Takeda, Kenji Ishikawa, Masaru Hori (INVITED )
    Plasma agriculture employing atmospheric-pressure oxygen plasma
    Asian International Workshop on Plasma Science, Nagoya University, Aichi, Japan, February 13, 2016

  2. H. Cho, H. Kondo, K. Ishikawa, K. Takeda, M. Sekine, M. Hiramatsu, M. Hori (INVITED )
    Effects of edge terminations on nanostructure and electrical properties of carbon nanowalls
    Asian International Workshop on Plasma Science, Nagoya University, Aichi, Japan, February 13, 2016

  3. T. Tsutsumi, Y. Fukunaga, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, and M. Hori (INVITED )
    Atomic-scale plasma process based on substrate-temperature control system
    Asian International Workshop on Plasma Science, Nagoya University, Aichi, Japan, February 13, 2016

  4. K. Takeda, Y. Fukunaga, T. Tsutsumi, K. Ishikawa, H. Kondo, M. Sekine, M. Hori (INVITED )
    Plasma Etching Process based on Teal-time Monitoring of Radical Density and Substrate Temperature
    50th Winter Annual Conference of the Korean Vacuum Society , Welli Hilli Park, Kangwon Province, Korea, February 17-19, 2016

  5. Keigo Takeda (INVITED )
    Behaviors of Reactive Species in SiH4/H2 Plasma for Silicon Thin Film Deposition
    3rd Korea-Japan Joint Symposium on Advanced Solar Cells, Welli Hilli Park, Kangwon Province, Korea, February 17-18, 2016

  6. Hiroshi Hashizume (INVITED )
    Plasma applications for biology
    5th International Workshop for Plasma-bio Science and Technology, Meijo University, Aichi, Japan, March 5, 2016

  7. H. Tanaka, and M. Hori (INVITED )
    Plasma Medical Innovation in Japan
    Special Lecture by Prof. Zdenko Machala, Nagoya University, March 11, 2016

  8. H. Kondo, 〇M. Hori, M. Hiramatsu (INVITED )
    Plasma Synthesis and Structural Control for carbon Nanowalls for Novel Nano-Bio Devices,
    229th Spring Meeting of the Electrochemical Society (ECS), Hilton San Diego Bayfront & San Diego Convention Center, USA, May 29-June 2, 2016. 

  9. M. Hori, H. Tanaka, K. Ishikawa, M. Mizuno, F. Kikkawa (INVITED )
    Plasma Activated Medium for Cancer Therapy,
    EMN Meeting on Biomaterials, Phuket, Thailand, April 4-7, 2016. 

  10. K. Ishikawa, H. Horibe, K. Takeda, H. Kondo, M. Sekine, M. Hori (INVITED )
    Bond Scission and Cross-linking during Exposure of Atomic Hydorgen to Poly(methulmethacrylate),
    EMN Meeting on Biomaterials, Phuket, Thailand, April 4-7, 2016. 

  11. M. Hori, M. Hiramatsu (INVITED )
    Chamically Sruface-modified Carbonnanowalls for nano-bio Applications
    EMN Meeting on Biomaterials, Phuket, Thailand, April 4-7, 2016

  12. Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Tetsuo Adachi, Hiroki Kaneko, Hiroko Terasaki, Yasuhiro Kodera, Fumitaka Kikkawa, and Masaru Hori (INVITED )
    INTRACELLULAR MOLECULAR MECHANISMS OF CANCER CELL DEATH USING PLASMA-ACTIVATED MEDIUM
    3rd International Workshop on Plasma for Cancer Treatment (IWPCT), Washington DC, USA, Apr 11-12, 2016

  13. M. Hori (KEYNOTE )
    Plasma Medical Science Innovation towards a Future Therapy,
    The ICCG 11 (The International Conference on Coatings on Glass and Plastics, Conference Center Braunschweig:, Germany, June 12-16, 2016. 

  14. Y. Ikehara, S. Ikehara, T. Yamaguchi, Y. Akimoto, H. Sakakita, J. Kim, K. Ishikawa, M. Hori, H. Nakanishi, N. Shimizu (INVITED )
    PLASMA TREATMENT INDUCES BLOOD CLOT FORMATION; PROTEIN AGGREGATION AND HEMOLYSIS,
    43rd IEEE International Conference on Plasma Science, Banff, Alberta, Canada, June 19-23, 2016. 

  15. K. Ishikawa, K. Takeda, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, M. Hori (INVITED )
    Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications,
    7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016. I-05

  16. M. Hori (PLENARY )
    Synthesis of nanographenes for catalytic effects by advanced plasma processes,
    ISPCEP 2016, Heping, Tianjin, China, June 29-July 2, 2016. 

  17. Masaru Hori (PLENARY )
    Carrying Knowledge into a New Vision of Plasma Science,
    1st Innovative Global GRDC Workshop, University of Texas, Dallas, USA, July 8, 2016. 

  18. H. Tanaka, M. Mizuno, F. Kikkawa, and M. Hori (INVITED )
    Plasma-activated medium as a novel cancer therapeutic approach
    ISPB2016, BEXCO, Busan, Korea, August 21-26, 2016

  19. Masaru Hori, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu (INVITED )
    Carbon nanowalls for sustainable future,
    20th International Vacuum congress (IVC-20), Busan, Korea, August 21-26, 2016. 

  20. M. Hori (PLENARY )
    Plasma Science towards Next-generation Healthcare Innovations,
    28th International Symposium on the Physics of Ionized Gases, Belgrade, Serbia, August 29-September 2, 2016. 

  21. H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Kondo, H. Hashizume, K. Nakamura, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, and M. Hori (INVITED )
    Plasma-activated medium and Cancer
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016

  22. M. Hori (INVITED )
    Plasma NanoInnovations for Future Industry, Medicine and Agriculture,
    Nano Innovation Conference and Exhibition 2016, Roma, Italy, September 20-23, 2016. 

  23. M. Hori (INVITED )
    Radical-Controlled Plasma Nano Processing for Green and Life Innovations,
    PRiME 2016, Honolulu, Hawaii, USA, October 2-7, 2016. 

  24. M. Sekine, T. Tsutumi, U. Fukunaga, K. Takeda, H. Kondo, K. Ishikawa, M. Hori (INVITED )
    Control of internal Plasma Parameters Toward Atomic Level Processing,
    PRiME 2016, Honolulu, Hawaii, USA, October 2-7, 2016. 

  25. M. Hori (KEYNOTE )
    Healthcare Innovations for Future Society,
    3rd International Conference on Universal Village (UV2016), Nagoya, Japan, October 6-8, 2016. 

  26. M. Hori (INVITED )
    Challenge towards controlling atomic level interactions of plasma with surfaces,
    69th Annual Gaseous Electronics Conference, Bochum, Germany, October 10-14, 2016. 

  27. M. Hori (PLENARY )
    Advanced Plasma Surface science and Technologies for Future Industry and Medicine,
    7th International Conference of Surface Engineering, Chengdu, China, October 22-25, 2016. 

  28. N. Miyoshi, H. Kobayashi, N. Yasui, M. tanaka, Y. Sonoda, K. Kuwahara, K. Ishikawa, M. Hori (INVITED )
    Selective Cyclic Plasma Etching of Thin Films in Two heating way, Ion Bombardment and infrared Irradiation
    63rd International Symposium American Vacuum Society (AVS), Tennessee, USA, November 6-11, 2016

  29. Kenji Ishikawa (INVITED )

    6th NU-SKKU joint symposium on neuroscience, Suwon, Korea, November 25, 2016

  30. Masaru Hori, Hiromasa Tanaka, Keigo Takeda, Kenji Ishikawa, Masaaki Mizuno, Fumitaka Kikkawa, Kazunori Shimizu, Hiroyuki Honda (INVITED )
    Functionalization of Plasma Activated Medium and its Application to Cancer Therapy and Regenerative Medicine,
    2016 Fall Meeting of Material Research Society (MRS), Boston, MA, USA, November 27-December 2, 2016. PM2.1.01

  31. Kenji Ishikawa, Naoyuki Kurake, Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Ajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Shinya Toyokuni, And Masaru Hori (INVITED )
    Plasma-activated Medium (PAM) and Metabolic Analysis of Glioblastoma (U251SP),
    26th Annual meeting of Material Research Society of Japan (MRS-J), Yokohama, Japan, December 19?22, 2016. C4-I21-002



General

  1. Hirotsugu Sugiura, Lingyun Jia , Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Masaru Hori.
    Influence of ion bombardment on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition
    The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells, Welli Hilli Park, Kangwon Province, Korea , February 17-18, 2016 . (ORAL )

  2. Hirotsugu Sugiura,L. Jia, H. Kondo, H. Hashizume, K. Ishikawa, K. Takeda, M. Hiramatsu, M. Sekine, M. Hori.
    Plasma-enhanced synthesis and chemical termination of carbon nanomaterials for application as cell culturing scaffold
    Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications, Eastin Tan Hotel, Chiang Mai, Thailand, February 22-23, 2016. (ORAL )

  3. K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, Y. Nakai, M. Sekine, M. Hori.
    Behaviors of gas- and liquid-phase reactive oxygen species generated by AC excited atmospheric pressure He plasma
    Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications, Eastin Tan Hotel, Chiang Mai, Thailand, February 22-23, 2016. (ORAL )

  4. Hirotsugu Sugiura, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Makoto Sekine, Masaru Hori.
    Effects of radicals and ions on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 07pB06O (ORAL )

  5. T. Amano, H. Kondo, K. Takeda, K. Ishikawa, T. Ohta, M. Ito, H. Kano, M. Hiramatsu, M. Sekine, and M. Hori .
    Oxygen reduction reaction of fuel cell catalytic electrodes using nanographene materials synthesized by in-liquid plasma,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 07pB11O (ORAL )

  6. Jun Kobayashi, Masashi Okachi, Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, Masafumi Ito.
    Inactivation effects of various kinds of solutions irradiated with oxygen radicals on yeast cells,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 07pB13O (ORAL )

  7. S. Takai, Y. Lu, K. Iwamoto, O. Oda, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori.
    Low-temperature Growth of InN Films on Si(111) Substrates by Radical-Enhanced Metal-Organic Chemical Vapor Deposition,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 07pC11O (ORAL )

  8. Takumi Itoh, Kenji Ishikawa, Daisuke Onoshima, Naoto Kihara, Kentaro Tatsukoshi, Hidefumi Odaka, Hiroshi Hashizume, Hiromasa Tanaka, Hiroshi Yukawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, and Masaru Hori .
    Liquid transport of micro-sized holes for isolated trapping of single cell,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 07pE05O (ORAL )

  9. Shun Imai, Hiroki Kondo, Naitou Kenichi, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu Masaru Hori .
    Degradation mechanism of Pt nanoparticles-supported carbon nanowalls for fuel cell application,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 09aB03O (ORAL )

  10. Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, and Masaru Hori.
    Plasma induced reactions on budding yeasts observed by multiplex coherent anti-Stokes Raman scattering (CARS),
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 09aE02O (ORAL )

  11. Lingyun Jia, Hirotsugu Sugiura, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Masaru Hori.
    Study on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 07P44 (POSTER )

  12. Z. Liu, J. Pan, A. Asano, K. Ishikawa, K. Takeda, O. Oda, H. Kondo, M. Sekine, and M. Hori.
    Low damage etching of Gallium Nitride with Cl2 plasma at high temperature,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 09P11  (POSTER )

  13. Y. Zhang, K. Ishikawa, M. Mozetic, K. Takeda, H. Kondo, M. Sekine, and M. Hori.
    Vacuum ultraviolet (VUV) light effects on Polyethylene Terephthalate (PET) surfaces in Plasma Modification ,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 09P12 (POSTER )

  14. Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo Makoto Sekine, Masaru Hori.
    Evaluation of Substrate Temperature Effect on the Sidewall Surface Reactions by Intermittent Plasma Generation for Control Substrate Temperature,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 09P14 (POSTER )

  15. T. Tsutsumi, K. Ishikawa, K. Takeda, T. Ohta, M. Ito, H. Kondo, M. Sekine, and M. Hori.
    Control of Radial Distribusion of Wafer Temperature during Plasma Process,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 09P24 (POSTER )

  16. H. Cho, S. Tajima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori.
    Effects of fluorine termination on nanostructures and electrical proeprties of carbon nanowalls,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 09P52 (POSTER )

  17. Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito.
    Measurement of reactive species in neutral-pH solutions treated with neutral oxygen radicals,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 10P01 (POSTER )

  18. Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Hiroyuki Kano, Kenji Ishikawa, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori.
    Induction of human breast cancer cells to selective death by plasma-activated medium ,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 10P07 (POSTER )

  19. T. Kumakura, K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, Y. Nakai, M. Sekine, M. Hori.
    Gas-Phase Diagnostics of AC Excited Non-equilibrium Atmospheric Pressure Plasma Jet for Biomedical Application,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 10P11 (POSTER )

  20. B. B. Sahu, Jeon G. Han, Y. Y. Yin, J. S. Lee, Su. B. Jin, T. Tsutsumi, K. Ishikawa, and M. Hori.
    Low-temperature PECVD process of silicon nitride film with radical and plasma diagnostics,
    8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology and Science, Nagoya University, Nagoya, Japan, March 6-10, 2016. 10P36 (POSTER )

  21. Y. Akimoto, S. Ikehara, Y. Yamaguchi, J. Kim, H. Kawakami, N. Shimizu, M. Hori, H. Sakakita, Y. Ikehara.
    Increase in Galectin expression In Healing Wounded Skin Treated with Low-Temperature Plasma: Comparison with Treatment By Electronical Coagulation
    43rd IEEE International Conference on Plasma Science, Banff, Alberta, Canada, June 19-23, 2016. (POSTER )

  22. M. Ito, T. Kobayashi, T. Ohta, H. Hashizume, K. Ishikawa, M. Hori.
    Main Bactericidal Factors of Escherichia Coli in Solutions Treated With neutral Oxygen Radicals
    43rd IEEE International Conference on Plasma Science, Banff, Alberta, Canada, June 19-23, 2016. (POSTER )

  23. M. Hori.
    Plasma Medical Innovation Using Non-Thermal Atmospheric Pressure Plasma
    43rd IEEE International Conference on Plasma Science, Banff, Alberta, Canada, June 19-23, 2016. (POSTER )

  24. H. Tanaka, M. Mizuno, F. Kikkawa, M. Hori.
    Plasma-Activated Medium and its Medical and Biological Applications
    43rd IEEE International Conference on Plasma Science, Banff, Alberta, Canada, June 19-23, 2016. (POSTER )

  25. N. Kurake, H. Tanaka, K. Ishikawa, K. Nakamura, H. Kajiyama, F. Kikkawa, T. Kondo, M. Mizuno, H. Kondo, M. Sekine, M. Hori.
    Impact of Synergism of nitrate and hydrogen Peroxide on cell survivals in plasma-activated-medium(PAM),
    43rd IEEE International Conference on Plasma Science, Banff, Alberta, Canada, June 19-23, 2016.   (POSTER )

  26. T. Kobayashi , J. Oh , H. Hashizume , T. Ohta , K. Ishikawa , M. Hori , M. Ito.
    UV absorbance of DDW treated with oxygen radicals for inactivating Escherichia coli,
    7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016. P-01 (POSTER )

  27. T. Ueyama, M. Iwata, Y. Fukunaga, T. Tsutsumi, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, M. Hori, H. Sugai.
    Vertical distribution measurement of electron density and optical emission in afterglow of pulsed fluorocarbon plasma,
    7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016. P-02 (POSTER )

  28. K. Takeda, K. Ishikawa, H. Tanaka, M. Sekine, M. Hori.
    Gas flow effect on transport of O (3Pj) atoms in ac power excited atmospheric pressure O2/Ar plasma jet,
    7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016. P-08 (POSTER )

  29. K. Takeda, K. Ishikawa, H. Tanaka, M. Sekine, M. Hori.
    Behaviors of OH radical generated by irradiation of AC excited atmospheric pressure Ar plasma jet to medium,
    7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016. P-07 (POSTER )

  30. H. Suzuki, H. Ito. , M. Sekine, M. Hori, H. Toyoda.
    High-speed-camera observation of plasma behavior in atmospheric-pressure microwave line plasma,
    7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016. P-03 (POSTER )

  31. R. Furuta, H. Hashizume, K. Ishikawa, H. Tanaka, K. Takeda, T. Ohta, H. Kondo, M. Ito, M. Sekine, and M. Hori .
    Molecular Vibrational Imaging of Plasma-Induced Biological Samples,
    7th International workshop on Plasma Spectroscopy (IPS 2016), Meitetsu Inuyama Hotel, Inuyama, Japan, June 26-29, 2016. O-14 (ORAL )

  32. Y. Tanaka, H. Hashizume, T. Ohta, K. Takeda, M. Hori, M. Ito.
    Inactivation of Asperigillus spores using oxygen-radical sources quantified by VUVAS
    7th International workshop on Plasma Spectroscopy (IPS 2016), Inuyama, Japan, June 26-29, 2016. (ORAL )

  33. B. B. Sahu, J. G. Han, K. Takeda, M. Hori.
    Utility of plasma diagnostics for the radical and plasma control for low-temperature Deposition of Si Thin Films in Hybrid Plasma Proesses
    7th International workshop on Plasma Spectroscopy (IPS 2016), Inuyama, Japan, June 26-29, 2016. (ORAL )

  34. T. Tsutsumi, M. Zaitsu, A. Kobayashi, H. Kondo, T. Nozawa, N. Kobayashi, M. Hori.
    A novel atomic layer etching of SiO2 with alternating O2 plasma with fluorocarbon film deposition,
    3rd International Workshop on Atomic Layer Etching, Dublin, Ireland, July 24-25, 2016. O033 (ORAL )

  35. Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Hiroko Mizuno, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori.
    Latent Chemistry in Plasma activated medium (PAM)
    20th International Vacuum congress (IVC-20), Busan, Korea, August 21-26, 2016. (POSTER )

  36. Mineo Hiramatsu, Hiroki Kondo, Masaru Hori.
    Synthesis of nanostructured platform based on 3-dimensional graphene network for biosinsing and energy applications
    20th International Vacuum congress (IVC-20), Busan, Korea, August 21-26, 2016. (ORAL )

  37. Makoto Sekine, Zechung Liu, Jialin Pan, Keigo Takeda, hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Osamu Oda, Masaru Hori.
    Plasma etching of gallium nitride at high temperature
    20th International Vacuum congress (IVC-20), Busan, Korea, August 21-26, 2016. (POSTER )

  38. Ryo Furuta, Hiroshi Hashizume, Kenji Ishikawa, Hiromasa Tanaka, Keigo Takeda, Takayuki Ohta, Hiroki Kondo, Masafumi Ito, Makoto Sekine, and Masaru Hori.
    Dynamic Behavior of HeLa Cells in Plasma-Activated Medium,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016. O-9 (ORAL )

  39. Y. Kurokawa, N. Kurake, K. Takeda, K. Ishikawa, H. Hashizume, H. Tanaka, H. Kondo, M. Sekine, M. Hori.
    Generation of Active Species in laser-induced-plasma activated medium,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016. P2-61-9 (POSTER )

  40. N. Kurake, H. Tanaka, K. Ishikawa, H. hashizume, K. Nakamura, H. Kajiyama, F. Kikkawa, T. Kondo, M. Mizuno, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Particulates generation in the plasma activated medium (PAM) ,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  41. M. Iida, Y. Omata, I. Yajima, Y. Kato, M. Yosihnaga, M. Hori, M. Kato.
    Effect of non-equilibrium atmospheric pressure plasmas irradication on spontaneously developed melanoma in RET-mice,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  42. K. Nakamura, H. Kajiyama, F. Utsumi, Y. Peng, H. Tanaka, M. Mizuno, S. Toyokuni, M. Hori, F. Kikkawa.
    Plasma-activated medium (PAM) inhibits peritoneal metastasis in ovarian cancer mouse model,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  43. K. Nakamura, H. Tanaka, M. Hori, F. Kikkawa.
    Plasma-irradicated liquid therapy suppresses intraperitioneal metastasis of chemoresistant ovarian cancertsumi,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  44. H. Nakanisih, H. tanaka, Y. Akimoto, A. Tanaka, M. Hori, Y. Ikehara.
    Plasma-irradicated liquid therapy suppresses intraperitioneal metastasis of chemoresistant ovarian cancertsumi,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  45. A. Tanaka, M. Hirata, Y. Ikehara, Y. Akimoto, H. Nakanishi, H. Tanaka, M. Hori.
    Health Effect of repeated intraperitoneal injections of plasma-activated medium in mice,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  46. K. Takeda, K. Ishikawa, H. Tanaka, M. Sekine, M. Hori.
    Diagnostics of gas- and liquid-phase reactive species generated by AC excited atmospheric pressure Ar Plasma,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  47. M. Ito, T. Kobayashi, H. Hashizume, T. Ohta, K. Ishikawa, M. Hori.
    Inactivation factors of microorganisms in solutions treated with neutral oxygen radical source,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  48. Y. Peng, H. Kajiyama, K. Nakamura, F. Ustumi, H. Tanaka, M. Mizuno, S. Toyokuni, M. Hori, F. Kikkawa.
    Plasma-activated medium inhibits metastatic tendency of ovarian cancer cells in vitro,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  49. M. Hori.
    Comprehensive model on interactions among plasmas, liquids, intracellular molecules and animals towards a plasma medical innovation,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  50. M. Ueda, D. Yamagami, A. Morioka, K. Watanabe, K. Sano, A. Mori, H. Kimra, H. Saji, H. Sakakita, Y. Ikehara, K. Ishikawa, M. Hori, S. Enomoto.
    Comparison of Inflammation after hemostasis with non-thermal plasma or thermal coagulation: A histological and nuclear medical evaluation,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  51. Y. Akimoto, S. Ikehara, T. Yamaguchi, J. Kim, H. Kawakami, N. Shimizu, M. Hori, H. Sakakita, Y. Ikehara.
    Expression of galectins and alpha smooth muscle actin in low-temperature plasma-treated healing skin,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  52. Y. Ikehara, S. Ikehara, Y,. Akimoto, H. sakakita, K. Ishikawa, M. Ueda, J. Ikeda, J. Kim, Y. Yamaguchi, H. Nakanishi, T. Shimizu, N. Shimizu, M. Hori.
    Mechanisum of blood coagulation induced by plasma treatment in addition to the acceleration of the natural blood coagulation process,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (ORAL )

  53. K. Ishikawa, N. Kurake, H. Tanaka, H. Hashizume, K. Takeda, K. Nakamura, H. Kajiyama, H. Kondo, M. Sekine, M. Kato, M. Mizuno, F. Kikkawa, M. Hori.
    Metabolic profiles on glioblastoma (U251SP) modified in plasma-activated medium (PAM) cultivation,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (ORAL )

  54. Y. Kamimura, N. tsuboi, H. Tanaka, M. Hori, . S. Maruyama.
    Plasma-activated medium change ASCs cytokine profile,
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016.   (POSTER )

  55. H. Hashizume, H. Tanaka, K. Nakaura, H. Kano, K. Ishikawa, F. Kikkawa, M. Mizuno, M. Hori.
    Selective killing of human breast cancer cell by plasma-activated medium
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016. (POSTER )

  56. N. Yokoyama, H. Sakakida, Y. Akimoto, T. Sivakumar, K. Ishikawa, M. Hori, Y. Ikehara.
    Effect of Plasma-treatment on the in vitro growth of Trypanosoma brucei
    6th International Conference on Plasma Medicine (ICPM), Slovak University of Technology, Bratislava, Slovakia, September 4-9, 2016. (POSTER )

  57. U. Cvelbar, P. Slobodian, H. Kondo, M. Sekine, M. Hori.
    Plasma-Assisted Deposition of Carbon Nanowalls for Detection of Organic,
    PRiME 2016, Honolulu, Hawaii, USA, October 2-7, 2016.   (ORAL )

  58. K. Ishikawa, S. Onoshima, H. Yukiwa, H. tanaka,H. Hashizume, Y. Baba, M. Hori, T. Hase, M. Kondo, Y. Hasegawa, M. Mizuno, N. Kihara, K. tatsukoshi, H. Odaka.
    Unobtrusively Medical and Health Monitoring of Older Adults in Every-day Life Mobility with a Vehicle,
    3rd International Conference on Univseal Village (UV2016), Nagoya, Japan, October 6-8, 2016.   (ORAL )

  59. KEIGO TAKEDA, KENJI ISHIKAWA, HIROMASA TANAKA, MAKOTO SEKINE, MASARU HORI.
    Atomic oxygen behavior at downstream of AC excited atmospheric pressure He plasma jet,
    69th Annual Gaseous Electronics Conference (GEC), Bochum, Germany, October 10-14, 2016.   (ORAL )

  60. NAOYUKI KURAKE,HIROMASA TANAKA, KENJI ISHIKAWA, KAE NAKAMURA, HIROAKI KAJIYAMA, FUMITAKA KIKKAWA, MASAAKI MIZUNO,YOKO YAMANISHI, MASARU HORI.
    Calcium oxalate syntheses in a solution containing glucose by the atmospheric pressure plasma irradiation,
    69th Annual Gaseous Electronics Conference (GEC), Bochum, Germany, October 10-14, 2016.   (ORAL )

  61. MINEO HIRAMATSU, HIROKI KONDO, MASARU HORI.
    Structure Control of Vertical Nanographene toward Electrochemical and Bio Applications,
    69th Annual Gaseous Electronics Conference (GEC), Bochum, Germany, October 10-14, 2016.   (ORAL )

  62. T. AMANO, H. KONDO, K. TAKEDA, K. ISHIKAWA, H. KANO, M. HIRAMATSU, M. SEKINE, M. HORI.
    Oxygen reduction reaction on highly-durable Pt/nanographene fuel cell catalyst synthesized employing in-liquid plasma,
    69th Annual Gaseous Electronics Conference (GEC), Bochum, Germany, October 10-14, 2016.   (ORAL )

  63. HARUKA SUZUKI, YUTO TAMURA, HITOSHI ITOH, MAKOTO SEKINE, MASARU HORI, HIROTAKA TOYODA.
    100% N2 atmospheric-pressure microwave-line-plasma production with a modified waveguide structure,
    69th Annual Gaseous Electronics Conference (GEC), Bochum, Germany, October 10-14, 2016.   (POSTER )

  64. Y. MIYOSHI, M. FUKASAWA, K. NAGAHATA,T. TATSUMI, Z. LIU, Y. ZHANG, A. ANDO, K. TAKEDA, K. ISHIKAWA, M. SEKINE, M. HORI.
    Variation in photon-induced interface defects due to transient behavior of pulse modulated inductively coupled plasma,
    69th Annual Gaseous Electronics Conference (GEC), Bochum, Germany, October 10-14, 2016.   (ORAL )

  65. N. SIRSE, B. ELLINGBOE, T. TSUTSUMI, S. MAKOTO, M. HORI.
    Electron and negative ion densities in a CW and pulsed 100 MHz capacitively coupled plasma discharge,
    69th Annual Gaseous Electronics Conference (GEC), Bochum, Germany, October 10-14, 2016.   (POSTER )

  66. M. Ito, M. Okachi, T. Koizumi, Jun-Seo Oh, H. Hashizume, T. Murata, M. Hori.
    Promotion of cell proliferation using atmospheric-pressure radical source,
    69th Annual Gaseous Electronics Conference (GEC), Bochum, Germany, October 10-14, 2016.   (POSTER )

  67. Kenji Ishikawa, Masaru Hori, Jeon Geon Han.
    Plasma diagnostics for thin silicon film deposition,
    7th Symposium of the Global Research and Development Centers, Seoul, Korea, October 31-November 1, 2016.   (ORAL )

  68. Y. Kurokawa, N. Kurake, K. takeda, K. Ishikawa, H. Hashizume, H. tnaka, H. Kondo, M. Sekine, M. Hori.
    Generation of Reactive Species In Medium Irradiated laser-Induced-Plasmas,
    63rd International Symposium American Vacuum Society (AVS), Nashville, Tennessee, USA, November 6-11, 2016.   (ORAL )

  69. K. Shinoda, N. Miyoshi, M. Kurihara, S. sakai, M. Izawa, K. Ishikawa, M. Hori.
    Isotropic Atomic Layer etching of Titanium Nitride Using Formation and Desorption of Ammonium Salt,
    63rd International Symposium American Vacuum Society (AVS), Nashville, Tennessee, USA, November 6-11, 2016.   (ORAL )

  70. K. Ishikawa, T. Tsusumi, Y. Zzhang, M. Sekine, T. Hayashi, M. Hori, Y. Horiike.
    Transport Mechanism of Reactive Species in Downflow Reactors for F-based Etch,
    63rd International Symposium American Vacuum Society (AVS), Nashville, Tennessee, USA, November 6-11, 2016.   (ORAL )

  71. Masakazu Tomatsu, Mineo Hiramatsu, Hiroki Kondo, Masaru Hori.
    Electrochemica sensor based on carbon nanowalls
    Workshop, Tomas Bata University in Zlín, Czech Republic, November 16, 2016. (ORAL )

  72. T. Ueyama, M. Iwata, Y. Fukunaga, T. Tsutsumi, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, M. Hori, and H. Sugai.
    Phase-resolved measurement of electron density in afterglow of synchronized dc-imposed pulsed plasmas of fluorocarbon based gases,
    2016 International Symposium on Dry Process (DPS), Hokkaido, Japan, November 21-22, 2016. G-3 (ORAL )

  73. S. Takai, Y. Lu, A. F. Wilson, O. Oda, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori.
    Growth of InN at a low-temperature by radical enhanced chemical vapor deposition,
    2016 International Symposium on Dry Process (DPS), Hokkaido, Japan, November 21-22, 2016. P-48 (POSTER )

  74. M. Tomatsu, M. Hiramatsu, H. Kondo, and M. Hori.
    Hydrogen peroxide sensor based on carbon nanowalls grown by plasma enhanced chemical vapor deposition,
    2016 International Symposium on Dry Process (DPS), Hokkaido, Japan, November 21-22, 2016. P-49 (POSTER )

  75. Jun-Seok Oh, T. Kobayashi, T. Ohta, M. Hiramatsu, M. Hori, M. Ito.
    Ambient Mass spectrometric Investigation of Atomic Oxygen radicals in Afterglow Plasma,
    2016 International Symposium on Dry Process (DPS), Hokkaido, Japan, November 21-22, 2016.   (POSTER )

  76. T. Hayashi, M. Sekine, K. Ishikawa M. Hori.
    Unveiling aspects of alternative refrigerants involving HFO-1234ze from computational chemistry,
    2016 International Symposium on Dry Process (DPS), Hokkaido, Japan, November 21-22, 2016. P-8 (POSTER )

  77. Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori.
    Electrochemical Durability of Pt-Supported Carbon Nanowalls Synthesized Using C2F6/H2 Mixture Plasma,
    2016 Fall Meeting Material Research Society (MRS), Boston, MA, USA, November 27-December 2, 2016. NM3.7.32 (POSTER )

  78. Hirotsugu Sugiura, Lingyyun Jia, Shuichi Sato, Hiroki Kondo, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, and Masaru Hori.
    Effects of Residence Time on Growth Characteristics and Properties of Amorphous Carbon Films Grown by Radical-Injection Plasma-Enhanced Chemical Vapor Deposition,
    2016 Fall Meeting Material Research Society (MRS), Boston, MA, USA, November 27-December 2, 2016. PM5.3.17 (POSTER )

  79. Takashi Kako, Kenji Ishikawa, Osamu Oda, Makoto Sekine, and Masaru Hori.
    A new concept and high performances of GaN etching employing the halogen gas-free plasma chemistry,
    26th annual meeting of Material Research Society of Japan (MRS-J), Yokohama, Japan, December 19-22, 2016. C4-O19-013 (ORAL )

  80. H. Iwata, T. Ohta, M. Ito, M. Hiramatsu, H. Kondo, M. Hori.
    Improvement of power generation efficiency on polymer electrolyte fuel cell using carbon nanowalls,
    26th annual meeting of Material Research Society of Japan (MRS-J), Yokohama, Japan, December 19–22, 2016.   (POSTER )

  81. A. Ito, T. Ohta, M. Hori.
    Synthesis of copper nanoparticles using gas-liquid interface plasma,
    26th annual meeting of Material Research Society of Japan (MRS-J), Yokohama, Japan, December 19–22, 2016.   (POSTER )

  82. Suiki Tanaka, Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Makoto Sekine, Masaru Hori.
    A Novel Controlling Method of Proliferation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation,
    26th annual meeting of Material Research Society of Japan (MRS-J), Yokohama, Japan, December 19–22, 2016. C4-O21-006 (ORAL )

  83. Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Takashi Kako, Kenji Ishikawa, And Masaru Hori.
    GaN epitaxial growth by Plasma assisted RF Sputter deposition,
    26th annual meeting of Material Research Society of Japan (MRS-J), Yokohama, Japan, December 19–22, 2016. C4-P20-001 (ORAL )

  84. Takayoshi Tsutsumi, Yusuke Fukunaga, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, And Masaru Hori.
    Advanced Plasma Etching Processing with Feedback Control of Wafer Temperature for Fabrication of Atomic-Scale Organic Devices,
    26th annual meeting of Material Research Society of Japan (MRS-J), Yokohama, Japan, December 19–22, 2016. C4-O19-014 (ORAL )

  85. Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi, And Masaru Hori.
    Synthesis of oxalic acids and crystallization of calcium oxalate dihydrates in culture medium irradiated with non-equilibrium atmospheric-pressure plasma,
    26th annual meeting of Material Research Society of Japan (MRS-J), Yokohama, Japan, December 19–22, 2016. C4-P21-021 (POSTER )