Invited talk

  1. K. Takeda, M. Hori (INVITED )
    Clarification of Si Oxidation Mechanism in O2 and Rare Gas Mixture Plasmawith Plasma Diagnostics,
    14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Kyushu University, Fukuoka, Japan, January 7-8, 2012. 

  2. M. Hori, M. Hiramatsu (INVITED )
    Challenge and Scope of Carbon Nanowalls for Green Plasma Innovation,
    14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Kyushu University, Fukuoka, Japan, January 7-8, 2012. 

  3. M. Hori, M. Sekine, T. Takeuchi, S. Segawa, Y. Tsukamoto, I. Sawada, J. Brcka, Y. P. Feurprier (INVITED )
    Study of surface reaction in etching process,
    Future materials and process for nanotechnology, Waseda University, Tokyo, Japan, February 17, 2012. 

  4. M. Hori, M. Sekine, H. Kondo, K. Ishikawa, K. Takeda, and M. Hiramatsu (KEYNOTE )
    Advanced plasma nano science and technology for synthesis of nano-graphenes,
    iPlasmaNano-III, Nanyang Executive Centere, Singapre, February 26-March 1, 2012. K-5

  5. M. Sekine, T. Suzuki, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani, M. Hori (INVITED )
    Formation of 10-nm organic pillars by plasma etch with Pt particle masks and their field emission properties,
    iPlasmaNano-III, Nanyang Executive Centere, Singapre, February 26-March 1, 2012. I-22

  6. H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa M. Hori (INVITED )
    Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma,
    15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics, Sungkyunkwan University, Korea, June 7-8, 2012. 

  7. M. Sekine, T. Suzuki, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani M. Hori (PLENARY )
    Formation of 10-nm Organic Pillars by Plasma Etch with Pt particle masks and their Field Emission Properties,
    15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics, Sungkyunkwan University, Korea, June 7-8, 2012. 

  8. M. Hori (PLENARY )
    The History and Future Challenge of Plasma Nanotechnology Center in Nagoya University,
    15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics, Sungkyunkwan University, Korea, June 7-8, 2012. 

  9. M. Hori (PLENARY )
    Advanced Plasma Science and Technology for Green and Life Innovations,
    4th International Conference on Microelectronics and Plasma Technology, Ramada Plaza Jeju Hotel, Jeju, Korea, July 4-6, 2012. 

  10. M. Hori, M. Tanaka, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, F. Kikkawa, K. Nakamura, M. Hayashi, H. Kajiyama, M. Mizuno, H. Ohta, M. Ito, H. Kano (PLENARY )
    Atmospheric Pressure Plasma Processes and their Diagnostics for Life Sciences,
    2nd International Symposium for Plasma Biosciences, Kwangwoon University, Seoul, Korea, August 12-14, 2012. 

  11. K. Ishikawa, H. Moriyama, N. Sumi, K. Tamiya, H. Hashizume, T. Oht, M. Ito, A. Kono, H. Horibe, H. Tanaka, K. Takeda, H. Kondo, M. Sekine, M. Hori (INVITED )
    Real-time/In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic/Biological Materials Interacted with Plasmas,
    IUMRS - International Conference on Electronic Materials 2012, Yokohama, Japan , September 23-28, 2012. 

  12. M. Hori  (INVITED )
    いまさら聞けない「プラズマの基礎」,
    Advanced Metallization Conference 2012: 22nd Asian Session, Yayoi Auditorium, Ichijo Hall, Tokyo University, Tokyo, Japan, October 22, 2012. 

  13. K. Ishikawa, S. Chen, K. Takeda, H. Kondo, M. Sekine, M. Hori (INVITED )
    Healing Process of Plasma-damaged Gallium Nitride (GaN),
    International Conference on Emerging Advanced Nanomaterials 2012 (ICEAN2012), Mercure Hotel, Brisbane, Australia, October 22-25, 2012. 

  14. 堀勝 (INVITED )
    プラズマが創る新しい高付加価値社会,
    5th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Science park, Nagoya, Japan, October 24, 2012. 

  15. Kenji Ishikawa, Hideo Horibe, Masafumi Ito, Makoto Sekine, Masaru Hori (INVITED )
    Real time In Situ Electron Spin Resonance (ESR) Study of Free Radicals on Materials Created by Plasmas,
    59th International Symposium American Vacuum Society (AVS), Tampa, Florida, USA, October 28-November 2, 2012. 



General

  1. K. Takeda, Y. Abe, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Surface Loss Probability of Hydrogen Radical on Silicon Thin Film in SiH4/H2 Plasma CVD,
    8th EU-Japan Joint Symposium on Plasma Processing "Atomic and Molecular Database for Plasmas and Surfaces", Todaiji Culture Center, Nara, Japan, January 16-18, 2012.   ( )

  2. M. Ito, T. Ohta, K. Takeda, H. Hashizume.
    Reactive dynamics between plasma and microorganism,
    Workshop of Frontier Science of Interactions between Plasmas and Nano Interfaces, Fukuoka, Japan, January 9-10, 2012.   ( )

  3. Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori.
    Mechanism of Generating Ions and Radicals in C3F6O Plasma,
    14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Kyushu University, Fukuoka, Japan, January 7-8, 2012.   ( )

  4. T. Takeuchi, S. Amasaki, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Modification of ArF Photoresist Caused by Irradiation of Fluorocarbon Plasma-beam,
    14th International Workshop on Advanced Plasma Processing and Diagnostics, The 2nd Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials, Kyushu University, Fukuoka, Japan, January 7-8, 2012.   ( )

  5. M. Naito, M. Hiramtsu, H. Kondo, M. Hori .
    Fabrication of graphene-based films using microwave plasma-enhanced CVD,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  6. T. Hagino, H. Kano, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Effect of electrode materials on synthesis of nano-graphene by alcohol in-liquid plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  7. T. Suzuki, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori.
    Field emission properties of 10-nm organic nanopillars fabricated by H2/N2 mixture gas plasma etching,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  8. H. Hashizume, T. Ohta, T. Mori, M. Ito, F. Jia, K. Takeda, K. Ishikawa, M. Hori.
    Effect of atomic oxygen inactivation of spores of P. digitatum by low-temperature atmospheric-pressure plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  9. S. Chen, Y. Lu, R. Kometani, K. Ishikawa, M. Sekine, M. Hori.
    The role of hydrogen radical on plasma damaged gallium nitride,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  10. T. Kanda, K. Yamakawa, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori.
    Vertical lamination of carbon nanowalls by two-step growth method,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  11. K. Yasuda, H. Kondo, M. Hiramatsu, M . Sekine, M. Hori.
    Changing in crystalline structures of initial growth surfaces of carbon nanowalls,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  12. S. Mitsuguchi, M. Hiramatsu, T. Horibe, H. Kondo, M. Hori, and H. Kano.
    Pt nanoparticle formation on grapheme by supercritical fluid chemical deposition,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  13. T. Horaguchi, M. Hiramatsu, M. Hori, H. Kondo.
    Electron field emission properties of carbon nanostructures,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  14. S. Mitsuguchi, M. Hiramatsu, H. Kondo, M. Hori.
    Fabrication of carbon nanowalls on carbon fiber paper for fuel cell application,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  15. K. Murata, M. Hiramatsu, S. Takashima, H. Kondo, M. Hori.
    Radical densities in microwave plasma-enhanced chemical vapor deposition employing carbon-containing gas mixture,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  16. A. Ando, T. Suzuki, S. Inoue, T. Ohta, Y. Ando, M. Ito, M. Hori.
    Optical emission spectroscopy of arc plasma for synthesizing carbon nanotubes,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  17. Y. Nihashi, M. Hiramatsu, H. Kondo, M. Hori.
    Nucleation control of self-organized graphenes using inductively coupled plasma enhanced chemical vapor deposition,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  18. H. Watanabe, H. Kondo, M. Hiramatsu, M. Sekine, M. Hori.
    Formation of super-hydrophilic surface of CNWs employing atmospheric pressure plasma treatment,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  19. H. Cho, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Electrical properties and crystalline structures of carbon nanowalls grown by CH4/H2 plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  20. T. Horibe, S. Mitsuguchi, H. Kano, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori.
    Formation and characteristics of TiO2 nanoparticles-supported carbon nanowalls fabricated employing supercritical fluid,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  21. H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori.
    Evaluation of crystallographic properties of carbon nanowalls by raman spectroscopy,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  22. T. Urakawa, R. Torigoe, H. Seo, G. Uchida, N. Itagaki, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, M. Hori.
    Etching rate of carbon films deposited by H-assisted plasma CVD,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  23. J. Kuki, L. Yu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Effects of RF bias on optical properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  24. Y. Lu, A. Fukushima, Y. Abe, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Effect of hydrogen radical injection on plasma enhanced chemical vapor deposition of microcrystalline silicon,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  25. M. Inoue, T. Ohta, N. Takota, M. Ito, and M. Hori.
    Formation of indium-zinc-oxide film using RF magnetron sputtering diagnosed by ultra violet absorption spectroscopy,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  26. L. Yu, J. Kuki, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Crystallographic analysis of amorphous carbon films synthesized by radical-injection plasma-enhanced chemical vapor deposition,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  27. T. Takeuchi, S. Amasaki, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    In situ XPS analysis of ArF photoresist surface modified by fluorocarbon ions and radicals,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  28. K. Asano, H. Yamamoto, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, A. Yamazaki, A. Ito, H. Matsumoto, M. Sekine, M. Hori.
    Formation of smooth surface on 193 nm photoresist by C5HF7/O2/Ar plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  29. Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, A. Yamazaki, A. Ito, H. Matsumoto, M. Sekine, M. Hori.
    Clarification of highly selective SiO2 etching process using C5HF7 gas,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  30. T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori.
    Effect of DC-bias superposed to the upper electrode of dual-frequency capacitively coupled plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  31. Y. Lu, S. Chen, R. Kometani, K. Ishikawa, H. Kondo, K. Takeda, M . Sekine, T. Egawa, H. Amano, M. Hori.
    Depth profiles on stoichiometry of plasma-etched GaN,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  32. R. Kometani, S. Chen, Y. Lu, C. David, K. Ishikawa, H. Kondo, T. Egawa, H. Amano, M. Sekine, M. Hori.
    Interaction with plasmas on gallium nitride at high temperature,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  33. Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori.
    Mechanism of generating ions and radicals in C3F6O plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  34. M. Kato, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Three dimensional investigation of activated species in O2/Ar nonequilibrium atmospheric pressure plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  35. N. Sumi, K. Ishikawa, H. Horibe, A. Kano, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Analysis of surface reactions mechanism on organic materials with H radical irradiation by real-time/in-situ electron spin resonance,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  36. Y. Abe, A. Fukushima, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    Loss kinetics of hydrogen radicals in silane plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  37. H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori.
    Selective killing of overian cancer cells through induction of apoptosis by a nonequilibrium atmospheric pressure plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  38. K. Ishikawa, S. Iseki, H. Hashizume, T. Ohta, M. Ito, H. Kano, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Real time electron spin resonance observation of penicillium digitatum spores interacted with plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  39. T. Hiraoka, N. Ebizuka, K. Takeda, T. Ohta, K. Ishikawa, M. Ito, K. Kawase, M. Sekine, M. Hori.
    Terahertz time-domain spectroscopy for penicillium digitatum sterilization using non-equilibrium atmospheric pressure plasma,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  40. I. Yajima, K. Nakagawa, H. Kondo, K. Takeda. M. Ito, M. Hori.
    Therapeutic effect of non-thermal atmospheric plasma on tumor in vivo,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  41. K. Takenaka, K. Cho, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori.
    Nano-surface analysis of plasma-polymer interactions for fabrications of flexible electronics with inorganic/organic hybrid structures,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  42. A. Fukushima, Y. Abe, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Relationship between silicon thin film property and flux ratio of H radical to silicon growth precursor in SiH4/H2 plasma CVD,
    4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials, Chubu University, Aichi, Japan, March 4-8, 2012.   ( )

  43. T. Maeda, M. Nagashima, M. Hiramatsu, H. Watanabe, H. Kondo, M. Hori.
    Electrochemical Sensors Based on Carbon Nanowalls,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  44. T. Horaguchi, M. Hiramatsu, H. Kondo, M. Hori.
    Electron Field Emission Properties of Carbon Nanowalls,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  45. A. Ando, T. Ohta, M. Ito, M. Hiramatsu, T. Suzuki, S. Inoue, Y. Ando, M. Hori.
    Optical Emission Characteristics of Arc Plasma for Synthesizing Carbon Nanotubes,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  46. Y. Lu, A. Fukushima, Y. Abe, Y. J. Kim, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori.
    The Effect of the Deposition Rate of Microcrystalline Silicon Deposition Using a Hydrogen Radical Injection Plasma Source,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  47. A. Fukushima, Y. Abe, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Relationship between Silicon Thin Film Property and Flux Ratio of H Radical in SiH4/H2 Plasma CVD,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  48. K. Asano, H. Yamamoto, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Modification of Si-O-Si Structures on Porous-SiOCH Films by O2 Plasma Exposure and Air Exposure,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  49. M. Inoue, T. Ohta, M. Ito, M. Hori.
    Characteristics of Indium-zinc-oxide Films Formed by Magnetron Sputtering Based on Gas Phase Monitoring,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  50. R. Kometani, S. Chen, Y. Lu, C. David, K. Ishikawa, K. Takeda, H. Kondo, T. Egawa, H. Amano, M. Sekine, M. Hori.
    Morphology on GaN Exposed to Plasmas at High Temperature,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  51. S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kondo, H. Kano, Y. Tokuda, M. Sekine, T. Egawa, H. Amano, M. Hori.
    Photoluminescence Recovery of Plasma Damaged GaN by Hydrogen Radical Annealing,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  52. Y. Lu, S. Chen, R. Kometani, K. Ishikawa, H. Kondo, K Takeda, M. Sekine, T. Egawa, H. Amano, M. Hori.
    Stoichiometry Protection to As-etched GaN Surface Using High Temperature N2 Plasma Assistance,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  53. Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, A. Ito, T. Suzuki, H. Matsumoto, M. Sekine, M. Hori.
    Clarification of Mechanisms of Highly Selective SiO2 Etching Process Using C5HF7 Gas,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  54. S. Tajima, K. Ishikawa, T. Hayashi, M. Hori.
    Development of a Si Dry Chemical Etching Technique Using NO/F2 Gas Mixtures without Using Plasmas,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  55. T. Hayashi, K. Ishikawa, M. Sekine, M. Hori, A. Kono, K. Suu.
    O2 and N2 Addition Effect for Si Etching in CF4 Plasma,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  56. S. Amasaki, T. Takeuchi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori, N. Sakurai, H. Hayashi, I. Sakai, T. Ohiwa.
    Influence of SiF4 Gas Addition to Sidewall Reaction during Deep Si Etching Using SF6/O2 Plasma,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  57. T. Hiraoka, M. Natsume, H. Kato, T. Tsutsumi, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Hori.
    Temperature Measurement of Carbon Nanowall/Silicon Substrate Using Fourier-Domain Low-coherence Interferometry,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  58. T. Tsutsumi, T. Ohta, M. Ito, S. Tsuchitani, M. Hori.
    Non-Contact Temperature Measurement of Silicon Wafer Using Frequency Domain Low Coherence Interferometry,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  59. T. Suzuki, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori.
    Subsequent Temporal Change of Gaseous Radical Density in H2/N2 Plasmas after Different Processes,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  60. N. Ebizuka, M. Sasaki, W. Aoki, A. Bianco, F. Maria Zerbi, M. Sekine, K. Ishikawa, H. Kondo, M. Hori.
    Fabrication Methods for Volume Binary Grating and Novel Immersion Grating by means of MEMS Technologies,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  61. H. Matsuyama, S. Kumagai, K. Takeda, N. Ebizuka, M. Hori, M. Sasaki.
    Transportable Micro Plasma Light Source System,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  62. F. Jia, K. Takeda, K. Ishikawa, H. Kano, J. Kularatne, H. Kondo, M. Sekine, M. Hori.
    Behaviours of Reactive Oxygen Species Generated by an Ar/O2 Atmospheric Pressure Plasma Jet,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  63. H. Tanaka, K. Nakamura, S. Iseki, H. Kajiyama, H. Moriyama, K. Ishikawa, H. Kano, F. Kikkawa, M. Mizuno, M. Hori.
    Plasma-activated Medium Effectively Killed Glioma Cancer Cells,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  64. H. Moriyama, K. Ishikawa, K. Tamiya, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    ESR Signals Arisen from Penicillium Digitatum Spores,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  65. H. Hashizume, T. Ohta, M. Ito, S. Iseki, F. Jia, K. Takeda, K. Ishikawa, and M. Hori.
    Efficiency of Ground-state Atomic Oxygen for Inactivation of P. Digitatum Spores Evaluated by Atmospheric Pressure Oxygen Radical Source,
    5th International Conference on PLAsma-NanoTechnology and Science, Freude, Inuyama International Sightseeing Center, Inuyama, Japan, March 9-10, 2012.   ( )

  66. Y. Setsuhara, K. Cho, K. Takenaka, M. Shiratani, M. Sekine, M. Hori.
    XPS Studies on Plasma Interactions with Soft-materials as a Basis of Investigations on Fundamental Processes with Biomolecules in Plasma Medicine,
    2012 Spring Meeting of Material Research Society (MRS), Moscone Convention Center, San Francisco, California, USA, April 9-13, 2012.   ( )

  67. T. Hiraoka, N. Ebizka, K. Takeda, T. Ohta, K. Ishikawa, M. Ito, K. Kawase, M. Sekine, M. Hori.
    Terahertz Time-domain Spectroscopic Sensing of Penicillium Digitatum Inactivated by Non-equilibrium Atmospheric Pressure Plasmas,
    2012 Spring Meeting of Material Research Society (MRS), Moscone Convention Center, San Francisco, California, USA, April 9-13, 2012.   ( )

  68. M. Ito, T. Ohta, K. Takeda.
    Plasma Agriculture Based on Quantitative Monitoring of Reactions between Fungal Cells and Atmospheric-pressure Plasmas,
    2012 Spring Meeting of Material Research Society (MRS), Moscone Convention Center, San Francisco, California, USA, April 9-13, 2012.   ( )

  69. K. Ishikawa, S. Iseki, H. Moriyama, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine M. Hori.
    Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas,
    2012 Spring Meeting of Material Research Society (MRS), Moscone Convention Center, San Francisco, California, USA, April 9-13, 2012.   ( )

  70. H. Tanaka, S. Iseki, K. Nakamura, M. Hayashi, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa M. Hori. .
    Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma,
    2012 Spring Meeting of Material Research Society (MRS), Moscone Convention Center, San Francisco, California, USA, April 9-13, 2012.   ( )

  71. H. Hashizume, T. Ohta, S. Iseki, T. Mori, M. Ito, and M. Hori.
    Oxidation Process of Penicillium digitatum Spores Using Atmospheric-pressure Plasma Observed by Fluorescent Microscopy,
    2012 Spring Meeting of Material Research Society (MRS), Moscone Convention Center, San Francisco, California, USA, April 9-13, 2012.   ( )

  72. Y. Abe, M. Hori, A. Fukushima, L. Ya, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine.
    Measurement of the flux ratio of hydrogen atom to film precursor for microcrystalline silicon solar cell,
    5th international workshop on plasma spectroscopy, Presqu ile de Giens, France, May 13-16, 2012.   ( )

  73. K. Takeda, M. Hori.
    Diagnostics of Atomic Oxygen in O2/Rare Gas Mixture Plasma with Vacuum Ultraviolet Laser Absorption Spectroscopy,
    5th international workshop on plasma spectroscopy, Presqu ile de Giens, France, May 13-16, 2012.   ( )

  74. Y. Kondo, Y, Miyawaki, K. Ishikawa, T. Hayashi, M. Sekine, K. Takeda, H. Kondo M. Hori.
    Reaction mechanism of plasma etching using hydrogen-containing fluorocarbon gas,
    15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics, Sungkyunkwan University, Korea, June 7-8, 2012.   ( )

  75. T. Tsutsumi, M. Hori, M. Sekine, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta M. Ito.
    High Resolution Temperature Monitoring System of Semiconductor Substrate Using Fourier Domain Low Coherence Interferometer,
    15th Korea-Japan workshop for Advanced Plasma Process and Diagnostics, Sungkyunkwan University, Korea, June 7-8, 2012.   ( )

  76. S. Iseki, K. Nakamura, M. Hayashi, H. Tanaka, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori.
    Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma (NEAPP),
    4th International Conference on Plasma Medicine (ICPM), Orlean, France, June 17-21, 2012.   ( )

  77. H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori.
    Edge and Surface Modification of Nanographenes in Carbon Nanowalls by Hydrogen Peroxide Treatments,
    4th International Conference on Microelectronics and Plasma Technology (ICMAP), Ramada Plaza Jeju Hotel, Jeju, Korea, July 4-6, 2012.   ( )

  78. H. Kondo, T. Hagino, K. Ishikawa, H. Kano, M. Sekine, M. Hori.
    Critical Factors of Synthesis Rate and Crystallinity of Nanographene in Ultrahigh-Speed Nanographene Synthesis Employing Alcohol Plasma,
    4th International Conference on Microelectronics and Plasma Technology (ICMAP), Ramada Plaza Jeju Hotel, Jeju, Korea, July 4-6, 2012.   ( )

  79. M. Inoue, M. Ito, T. Ohta, M. Hori .
    Film properties of indium-zinc-oxide films using RF magnetron sputtering evaluated by optical diagnostics in gas phase ,
    XXI Europhysics Conference on the Atomic and Molecular Physics of Ionized Gases, Castelo de Santiago da Barra, Viana do Castelo, Potugal, July 10-14, 2012.   ( )

  80. M. Hori, H. Kondo, T. Hagino, K. Ishikawa, K. Takeda, H. Kano, M. Sekine.
    High-speed synthesis and crystalinity control of nanographene using inliquid plasma in alcohol,
    XXI Europhysics Conference on the Atomic and Molecular Physics of Ionized Gases, Castelo de Santiago da Barra, Viana do Castelo, Potugal, July 10-14, 2012.   ( )

  81. T. Takeuchi, M. Sekine, K. Ishikawa, H. Kondo, K. Takeda M. Hori.
    Investigation of modification of ArF photoresist during plasma etching processes,
    14th Annual TECHCON Conference Technology and Talent for the 21st Century, Renaissance Austin Hotel, Austin, Texas, September 10-11, 2012.   ( )

  82. H. Kondo, K. Yasuda, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori.
    Gradual transition of chemical structures at initial growth stage of carbon nanowalls,
    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia and Exhibition, Warsaw University of Technology, Warsaw, Poland , September 17-21, 2012.   ( )

  83. M. Hiramatsu, Y. Nihashi, H. Kondo, M. Hori.
    Nucleation control of self-organized vertical nano-graphenes using inductively coupled plasma enhanced chemical vapor deposition,
    The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia and Exhibition, Warsaw University of Technology, Warsaw, Poland , September 17-21, 2012.   ( )

  84. S. Tajima, K. Ishikawa, T. Hayashi, M. Hori.
    Chemical dry etching of poly-Si and single crystal Si using NO and F2 gas mixture without using plasmas,
    IUMRS - Internet Conference on Electronic Materials 2012, Yokohama, Japan, September 23-28, 2012.   ( )

  85. K. Ishiwaka, R. Kometani, S. Chen, Y. Lu, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Surface Roughness on Plasma-Etched Gallium Nitride (GaN),
    IUMRS - Internet Conference on Electronic Materials 2012, Yokohama, Japan, September 23-28, 2012.   ( )

  86. Makoto Sekine, Takuya Takeuchi, Shinpei Amasaki, Keigo Takada, Hiroki Kondo, Kenji Ishikawa, Masaru Hori.
    In Situ XPS Analysis of ArF Photoresist Modification Caused by Fluorocarbon Ions and Radicals,
    IUMRS - Internet Conference on Electronic Materials 2012, Yokohama, Japan, September 23-28, 2012.   ( )

  87. A. Malinowski, M. Sekine, M. Hori, K. Ishikawa, H. Kondo, T. Takeuchi, T. Suzuki, A. Jakubowski, L. Lukasiak.
    Investigation of Hydrogen Radical Kinetics during ArF 193nm Photoresist Processing for Ultimate CMOS Technology Development,
    IUMRS - Internet Conference on Electronic Materials 2012, Yokohama, Japan, September 23-28, 2012.   ( )

  88. T. Suzuki, K. Takeda, K. Ishikawa, H. Kondo, Y. Setsuhara, S. Shiratani, M. Sekine, M. Hori.
    Field Electron Emitter Fabricated by Plasma Etching of Organic Material,
    IUMRS - Internet Conference on Electronic Materials 2012, Yokohama, Japan, September 23-28, 2012.   ( )

  89. H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori.
    Effects of Hydrogen Peroxide Treatment on Nanostructures in Carbon Nanowalls,
    IUMRS - Internet Conference on Electronic Materials 2012, Yokohama, Japan, September 23-28, 2012.   ( )

  90. H. Kondo, M. Hiramatsu, M. Hori.
    Advanced Plasma Science and Technologies for Nanostructure Control of Carbon Nanomaterials,
    IUMRS - Internet Conference on Electronic Materials 2012, Yokohama, Japan, September 23-28, 2012.   ( )

  91. T. Ohta, H. Hashizume, M. Ito, K. Takeda, K. Ishikawa, M. Hori.
    Oxidative Inactivation Mechanism of Fungal Spores Employing Atmospheric-pressure Oxygen Radical Source,
    IUMRS - Internet Conference on Electronic Materials 2012, Yokohama, Japan, September 23-28, 2012.   ( )

  92. H. Cho, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu M. Hori.
    Edge Termination on Electrical Properties of Vertically-grown Graphene Sheets Employing N2/H2 Mixture Plasma,
    2012 International Conference on Solid State Devices and Materials (SSDM), Kyoto International Conference Center, Kyoto, Japan, September 25-27, 2012.   ( )

  93. K. Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda .
    Real-Time Electron Spin Resonance Study on Fungal Spores during Inactivation ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  94. H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori .
    Effects of Hydroxyl Radical on Nanographene Surfaces in Carbon Nanowalls ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  95. H. Watanabe, H. Kondo, Y. Okamoto, M. Sekine, M. Hiramatsu, Y. Baba, M. Hori .
    Effects of Carbon Nanowall Scaffold on HeLa Cell Culture ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  96. L. Yu, J. Kuki, H. Kondo, K. Ishikawa, M. Sekine, M. Hori .
    Effect of Nitrogen Doping on Photoconductivity of Amorphous Carbon Films Grown by Radical-injection Plasma-enhanced Chemical Vapor Deposition ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  97. S. Tajima, T. Hayashi, K. Ishikawa, M. Sekine, M. Hori .
    Surface Reaction of F2, NO, F, and FNO on Si during the Plasmaless Si Etching in NO/F2 Gas Mixture ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  98. J. Kuki, L. Yu, H. Kondo, M. Sekine, M. Hori .
    Effects of Pulse Bias on Crystallographic Properties of Amorphous Carbon Films Grown by Plasma-enhanced Chemical Vapor Deposition ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  99. M. Hori, Y. Abe, A. Fukushima, K. Takeda, H. Kondo, K. Ishikawa, Y. Kim, M. Sekine.
    Reconsideration on Effects of H Radicals on Thin Film Surfaces in Plasma Enhanced Chemical Vapor Deposition employing SiH4/H2 Gases ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  100. Y. Kim, Y. Lu, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Low Temperature Deposition and Characteristics of GaN Thin Film by HF-PECVD,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  101. J. Cao, R. Kometani, J. Park, Y. Lu, S. Chen, K. Ishikawa, M. Sekine, M. Hori.
    Research on Plasma Etching of Gallium Based Compound Semiconductor ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  102. T. Hiraoka, K. Takeda, T. Ohta, N. Ebitsuka, H. Kondo, K. Ishikawa, K. Kawase, M. Ito, M. Sekine, M. Hori .
    Optical Properties of Carbon Nano Walls in Terahertz Frequencies Region ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  103. T. Suzuki, A. Malinowski, K. Takeda, H. Kondo, K. Ishikawa, Y. Setsuhara, M. Shiratani, M. Sekine, M. Hori .
    Influence of Last Condition in Plasma Reactor on Gaseous Radical Density ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  104. Z. Liu, S. Chen, Y. Lu, R. Kometani, K. Ishikawa, Hiroyuki Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori.
    Recovery of Plasma-Damaged GaN by Employing Exposure of Radicals ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  105. R. Kometani, S. Chen, Y. Lu, D. Cao, K. Ishikawa, K. Takeda, H. Kondo, T. Egawa, H. Amano, M. Sekine, M. Hori .
    Observation of Plasma-Exposed GaN Surface at High Temperature ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  106. J. Park, T. Takeuchi, J. Cao, K. Ishikawa, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori .
    Change of GaAs Surface Properties by Irradiation of Cl2 Ions ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  107. Y. Kondo, Y. Miyawaki, K. Takeda, H. Kondo, K. Ishikawa, T. Hayashi, M. Sekine, M. Hori .
    Generation Mechanism of Ions and Radicals in CHxFy Etching Plasmas ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  108. Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, A. Ito, H. Matsumoto, M. Sekine, M. Hori .
    Studies on Plasma Etching of Si3N4 Employing New Alternative Gas, C5HF7 ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  109. K. Asano, Y. Miyawaki, K. Ishikawa, M. Sekine, K. Takeda, A. Ito, H. Matsumoto, H. Kondo, M. Hori .
    Mechanism and Control of Roughness Formation on ArF Photoresist by C5HF7 Etching Plasma ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  110. T. Komuro, K. Takeda, K. Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori .
    Highly Selective Etching of Dielectrics over SiC Film by DC Superposed Dual-Frequency CCP ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  111. K. Sun, K. Takeda, H. Kondo, S. Tajima, K. Ishikawa, M. Sekine, M. Hori, H. Itoh .
    The Formation of the Insulating Film with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition by Hexamethyldisiloxan Mist ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  112. L. Ya, A. Fukushima, Y. Abe, Youn J. Kim, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori .
    Microcrystal Orientation of Silicon Thin Film Deposited with Hydrogen Radical Injection Plasma Enhanced CVD ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  113. T. Horibe, H. Kondo, H. Kano, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori.
    Two-Step Supporting of High-Density Metal Nanoparticle Catalysts on Carbon Nanowalls using Supercritical Fluid ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  114. T. Tsutsumi, K. Takeda, K. Ishikawa, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori .
    Non-Contact Temperature Measurement of Sapphire Substrate for GaN using Frequency Domain Low Coherence Interferometry ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  115. K. Takeda, M. Hori.
    Absolute Density and Translational Temperature of Atomic Oxygen Radical in Surface Wave Oxygen Plasma with High Rare Gas Dilution ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  116. Y. Abe, A. Fukushima, Y. Lu, Y. Kim, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Spectroscopic Determination of Radical Densities in SiH4/H2 Plasma ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  117. A. Fukushima, Y. Abe, Y. Lu, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hori.
    Evaluation of Relationship between μC-Si Film Property and Flux Ratio of H Radicals to Film Precursors ,
    11th APCPST and 25th SPSM , Kyoto University ROHM Plaza, Kyoto, Japan, October 2-5, 2012.   ( )

  118. Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, Hideo Horibe.
    Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals,
    7th International Conference on Hot-Wire Chemical Vapor Deposition (HWCVD), Osaka City, Japan, October 8-12, 2012.   ( )

  119. A. Kono, Y. Arai, Y. Goto, S. Takahashi, K. Ishikawa, M. Hori, H. Horibe.
    Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer,
    7th International Conference on Hot-Wire Chemical Vapor Deposition (HWCVD), Osaka City, Japan, October 8-12, 2012.   ( )

  120. M. Hiramatsu, R. Tsukada, Y. Kashima, M. Naito, H. Kondo, M. Hori.
    Fabrication of graphene-based films using remote plasma CVD,
    65th Annual Gaseous Electronics Conference (GEC), Austin, Texas, USA, October 22-26, 2012.   ( )

  121. M. Inoue, T. Ohta, M. Ito, M. Hori.
    Line profile and translational temperature of Pb and metastable He atoms at middle pressures in micro hollow cathode discharge,
    65th Annual Gaseous Electronics Conference (GEC), Austin, Texas, USA, October 22-26, 2012.   ( )

  122. Kenji Ishikawa, Yusuke Kondo, Yudai Miyawaki, Toshio Hayashi, Makoto Sekine, Keigo Takeda, Hiroki Kondo, Masaru Hori.
    Reaction model for etching surface interacted with hydrofluorocarbon plasmas,
    65th Annual Gaseous Electronics Conference (GEC), Austin, Texas, USA, October 22-26, 2012.   ( )

  123. Hiroki Kondo, Tatusya Hagino, Keigo Takeda, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Masaru Hori.
    Study on synthesis processes and crystallinity changes of nanographene materials synthesized by alcohol liquid-plasma,
    65th Annual Gaseous Electronics Conference (GEC), Austin, Texas, USA, October 22-26, 2012.   ( )

  124. Makoto Sekine, Toshiya Suzuki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuichi Setsuhara, Masaharu Shiratani, Masaru Hori.
    Precise plasma process control based on combinatorial plasma etching,
    65th Annual Gaseous Electronics Conference (GEC), Austin, Texas, USA, October 22-26, 2012.   ( )

  125. T. Tsutsumi, T. Hiraoka, K. Takeda, K. Ishikawa, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori.
    Temperature measurement of substrate with a thin film using low-coherence interference,
    65th Annual Gaseous Electronics Conference (GEC), Austin, Texas, USA, October 22-26, 2012.   ( )

  126. K. Takeda, J. Jolibois, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori.
    Measurement of activated species generated by 60 Hz excited atmospheric pressure Ar plasma in atmospheric gas ,
    65th Annual Gaseous Electronics Conference (GEC), Austin, Texas, USA, October 22-26, 2012.   ( )

  127. 橋爪博司、太田貴之、伊藤昌文、賈鳳東、竹田圭吾、石川健治、堀勝.
    ミドリカビ胞子細菌における活性酸素の定量的解析,
    5th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Nagoya science park, Japan, October 24, 2012.   ( )

  128. 田中宏昌、水野正明、石川健治、中村香江、梶山広明、加納浩之、吉川史隆、堀勝.
    プラズマ培養液による脳腫瘍細胞のアポトーシス誘導,
    5th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Nagoya science park, Japan, October 24, 2012.   ( )

  129. J. S. KULARATNE、加納浩之、伊藤昌文、太田貴之、竹田圭吾、石川健治、近藤博基、関根誠、堀勝.
    AC励起大気圧プラズマを用いた下水モニタリング装置の開発,
    5th International Symposium of Plasma Center for Industrial Application (PLACIA) and Plasma Application Monodzukuri (PLAM) on Reactivation of Manufacturing in Japan by Plasma-Nano Technology, Nagoya science park, Japan, October 24, 2012.   ( )

  130. M. Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, H. Matsugai, T. Honda, M. Minami, F. Uesawa, Masaru Hori, Tetsuya Tatsumi.
    Interface Trap Generation by VUV/UV Radiation from Fluorocarbon Plasma,
    59th International Symposium American Vacuum Society (AVS), Tampa, Florida, USA, October 28-November 2, 2012.   ( )

  131. Hiroki Kondo, T. Kanda, Mineo Hiramatsu, Kenji Ishikawa, Makoto Sekine, Masaru Hori.
    Crystalline and Electrical Properties of Vertically-Laminated Carbon Nanowalls formed by Two-Step Growth Method,
    59th International Symposium American Vacuum Society (AVS), Tampa, Florida, USA, October 28-November 2, 2012.   ( )

  132. A. Malinowski, Makoto Sekine, Masaru Hori, Kenji Ishikawa, Hiroki Kondo, Takuya Takeuchi, Toshiya Suzuki, A. Jakubowski, L. Lukasaak, T. Tomaszewski.
    Investigation of Plasma-Surface Interactions Between Hydrogen Radical and Chemically Amplified Photoresist,
    59th International Symposium American Vacuum Society (AVS), Tampa, Florida, USA, October 28-November 2, 2012.   ( )

  133. Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori.
    Evaluation of Surface Chemical Bonding State and Surface Roughness of Chemical Dry Etched Si using NO and F2 Gas Mixture,
    59th International Symposium American Vacuum Society (AVS), Tampa, Florida, USA, October 28-November 2, 2012.   ( )

  134. R. Kometani, S. Chen, J. Park, J. Cao, Y. Lu, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Hiroshi Amano, Makoto Sekine, Masaru Hori.
    Control of Surface Properties on Plasma-Etched Gallium Nitride (GaN),
    59th International Symposium American Vacuum Society (AVS), Tampa, Florida, USA, October 28-November 2, 2012.   ( )

  135. Toshiya Suzuki, A. Malinowski, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Yuichi Setuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori.
    Subsequent Temporal Change of Gaseous H and N Radical Density in Plasma after Different Processes,
    59th International Symposium American Vacuum Society (AVS), Tampa, Florida, USA, October 28-November 2, 2012.   ( )

  136. Yusuke Kondo, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Toshio Hayashi, Makoto Sekine, Masaru Hori.
    Mechanism of Generating Ions and Radicals in Fluorocarbon Plasma Investigated by Reaction Model Analysis,
    59th International Symposium American Vacuum Society (AVS), Tampa, Florida, USA, October 28-November 2, 2012.   ( )

  137. R. Kometani, S. Chen, M. Liu, Kenji Ishikawa, H. Kondo, K. Takeda, T. Egawa, H. Amano, M. Sekine, M. Hori.
    A High Temperature Plasma Etching of GaN and Its Reaction Mechanism,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan , November 15-16, 2012.   ( )

  138. Y. Miyawaki, Y. Kondo, M. Sekine, Kenji Ishikawa, T. Hayashi, K. Takeda, A. Ito, H. Matsumoto, M. Hori.
    Studies on Plasma Etching of Si3N4 in Capacitively Coupled Plasma employing C5HF7,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan , November 15-16, 2012.   ( )

  139. K. Asano, Y. Miyawaki, Kenji Ishikawa, M. Sekine, K. Takeda, A. Ito, H. Matsumoto, H. Kondo, M. Hori.
    A reduction of degradation on ArF photoresist by C5HF7 plasma etching and its mechanism,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan , November 15-16, 2012.   ( )

  140. T. Hayashi, Kenji Ishikawa, M. Sekine, M. Hori.
    Quantum chemical investigations for excitation dissociations of C5F8 and C5HF7 etching gases,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan , November 15-16, 2012.   ( )

  141. T. Komuro, K. Takeda, Kenji Ishikawa, M. Sekine, Y. Ohya, H. Kondo, M. Hori.
    Highly selective etching of gap-fill dielectrics over SiC and SiN by the dc-bias superposed dual-frequency CCP,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan , November 15-16, 2012. P-14 ( )

  142. M. Fukasawa, H. Matsugai, T. Honda, Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo, Kenji Ishikawa, M. Sekine, K. Nagahata, F. Uesawa, M. Hori, T. Tatsumi.
    Photon-stimulated surface reaction and generation of damage to hydrogenated silicon nitride in fluorocarbon plasma,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan, November 15-16, 2012.   ( )

  143. Z. Liu, S. Chen, Y. Lu, R. Kometani, Kenji Ishikawa, H. Kano, K. Takeda, H. Kondo, M. Sekine, T. Egawa, H. Amano, M. Hori.
    An in-situ sequential H and N radical exposure process for recovery of plasma-damaged GaN,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan , November 15-16, 2012.   ( )

  144. Y. Abe, A. Fukushima, Y. Lu, Y. Kim, K. Takeda, H. Kondo, Kenji Ishikawa, M. Sekine, M. Hori.
    High performances of microcrystalline Si thin film formation for a solar cell by measurement and control of hydrogen radicals in the SiH4/H2 plasma,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan , November 15-16, 2012.   ( )

  145. Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori.
    Evaluation of gas-surface reaction dynamics during the plasmaless Si etching using NO/F2 gas mixture,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan , November 15-16, 2012.   ( )

  146. Kenji Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori.
    Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ electron spin resonance measurements,
    34th International Symposium on Dry Process (DPS), Tokyo, Japan, November 15-16, 2012.   ( )