1. Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa
    Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo
    PLoS ONE, Vol. 8 (12) (December 18, 2013) e81576 pp. ,
    DOI: 10.1371/journal.pone.0081576

  2. Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Room-Temperature Si Etching in NO/F2 Gases and the Investigation of Surface Reaction Mechanisms
    Journal of Physical Chemistry C, Vol. 117 (10) (February 25, 2013) pp. 5118-5125,
    DOI: 10.1021/jp3119132

  3. Satomi Tajima, Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Formation of Nanoporous Features, Flat Surfaces, or Crystallographically Oriented Etched Profiles by the Si Chemical Dry Etching Using the Reaction of F2 + NO -> F + FNO at an Elevated Temperature
    Journal of Physical Chemistry C, Vol. 117 (40) (September 6, 2013) pp. 20810-20818,
    DOI: 10.1021/jp4084794

  4. Hiroshi Hashizume, Takayuki Ohta, Jia Fengdong, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source
    Applied Physics Letters, Vol. 103 (15) (October 11, 2013) 153708 pp. 1-4,
    DOI: 10.1063/1.4824892

  5. Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
    Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry
    Applied Physics Letters, Vol. 103 (18) (2013) 182102 pp. ,
    DOI: 10.1063/1.4827426

  6. Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls
    Applied Physics Express, Vol. 6 (4) (April 4, 2013) 045103 pp. 1-4,
    DOI: 10.7567/APEX.6.045103

  7. Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    A High-Temperature Nitrogen Plasma Etching for Preserving Smooth and Stoichiometric GaN Surface
    Applied Physics Express, Vol. 6 (5) (April 25, 2013) 056201 pp. 1-4,
    DOI: 10.7567/APEX.6.056201

  8. Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls
    Applied Physics Express, Vol. 6 (9) (August 27, 2013) 095201 pp. ,
    DOI: 10.7567/APEX.6.095201

  9. T. Takeuchi, C. Corbella, S. Grosse-Kreul, A. von Keudell, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, and M. Hori
    Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment
    Journal of Applied Physics, Vol. 113 (1) (January 4, 2013) 013306 pp. 1-6,
    DOI: 10.1063/1.4772996

  10. Y. Abe, A. Fukushima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori
    Surface loss probability of H radicals on silicon thin films in SiH4/H2 plasma
    Journal of Applied Physics, Vol. 113 (1) (January 7, 2013) 014303 pp. 1-6,
    DOI: 10.1063/1.4773104

  11. Y. Abe, S. Kawashima, A. Fukushima, Y. Lu, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, and M. Hori
    Impact of Hydrogen radical-injection plasma on fabrication of microcrystalline silicon thin film for solar cells
    Journal of Applied Physics, Vol. 113 (3) (January 19, 2013) 033304 pp. 1-6,
    DOI: 10.1063/1.4778608

  12. Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, and Masaru Hori
    Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma
    Journal of Physics D: Applied Physics, Vol. 46 (10) (February 8, 2013) 102001 pp. 1-5,
    DOI: 10.1088/0022-3727/46/10/102001

  13. Arkadiusz Malinowski, Takuya Takeuchi, Shang Chen, Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Lidia Lukasiak, and Andrzej Jakubowski
    A novel fast and flexible technique of radical kinetic behaviour investigation based on pallet for plasma evaluation structure and numerical analysis
    Journal of Physics D: Applied Physics, Vol. 46 (26) (July 3, 2013) 265201 pp. ,
    DOI: 10.1088/0022-3727/46/26/265201

  14. Keigo Takeda, Masaki Kato, Fendong Jia, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, and Masaru Hori
    Effect of gas flow on transport of O (3Pj) atoms produced in ac power excited non-equilibrium atmospheric-pressure O2/Ar plasma jet
    Journal of Physics D: Applied Physics, Vol. 46 (46) (October 30, 2013) 464006 pp. ,
    DOI: 10.1088/0022-3727/46/46/464006

  15. Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori
    Plasma-activated medium selectively kills glioblastoma brain tumor cells by downregulating a survival signaling molecule, AKT kinase
    Plasma Medicine 3 (3-4) (February 20, 2013) pp. 265-277,
    DOI: 10.1615/PlasmaMed.2012006275

  16. H. Watanabe, H. Kondo, M. Hiramatsu, M. Sekine, S. Kumar, K. Ostrikov, and M. Hori
    Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability
    Plasma Processes and Polymers, Vol. 10 (7) (May 20, 2013) pp. 582-592,
    DOI: 10.1002/ppap.201200141

  17. Su B. Jin, Joon S Lee, Yoon S. Choi, In S Choi, Jeon G.Han, and M. Hori
    Scale-up approach for industrial plasma enchanced chemical vapor deposition processes and Siox thin film technology
    Thin Solid Films, Vol. 574 (November 29, 2013) pp. 193-197,
    DOI: 10.1016/j.tsf.2013.03.061

  18. Tatsuya Urakawa, Hidehumi Matsuzaki, Daisuke Yamashita, Giichiro Uchida, Kazunori Koga, Masaharu Shiratani, Yuichi Setsuhara, Makoto Sekine, and Masaru Hori
    Mass density control of carbon films deposited by H-assisted plasma CVD method
    Surface Coating Technology, Vol. 228 (S1) (August 15, 2013) pp. S15-S18,
    DOI: 10.1016/j.surfcoat.2012.10.002

  19. Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa, T. Hayashi, K. Takeda, H. Kondo, and M. Hori
    Highly selective etching of SiO2 over Si3N4 and Si in capacitivlly coupled plasma employing C5HF7 gas
    Japanese Journal of Applied Physics, Vol. 52 (1) (December 19, 2012) 016201 pp. 1-9,
    DOI: 10.7567/JJAP.52.016201

  20. M. Hiramatsu, Y. Nihashi, H. Kondo, and M. Hori
    Nucleation Control of Carbon Nanowalls Using Inductively Coupled Plasma-Enhanced Chemical Vapor Deposition
    Japanese Journal of Applied Physics, Vol. 52 (1) (January 21, 2013) 01AK05 pp. ,
    DOI: 10.7567/JJAP.52.01AK05

  21. M. Hiramatsu, M. Naito, H. Kondo, and M. Hori
    Fabrication of Graphene-Based Films Using Microwave-Plasma-Enhanced Chemical Vapor Deposition
    Japanese Journal of Applied Physics, Vol. 52 (1) (January 21, 2013) 01AK04 pp. ,
    DOI: 10.7567/JJAP.52.01AK04

  22. T. Urakawa, R. Torigoe, H. Matsuzaki, D. Yamashita, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, K. Takeda, M. Sekine, and M. Hori
    H2/N2 plasma etching rate of carbon films deposited by H-assisted plasma CVD
    Japanese Journal of Applied Physics, Vol. 52 (1) (January 21, 2013) 01AB01 pp. 1-4,
    DOI: 10.7567/JJAP.52.01AB01

  23. T. Hiraoka, T. Ohta, T. Kageyama, M. Ito, N. Nishizawa, and M. Hori
    Temperature Measurement of Si Substrate Using Optical-Fiber-Type Low-Coherence Interferometry Employing Supercontinuum Light
    Japanese Journal of Applied Physics, Vol. 52 (2) (February 4, 2013) 026602 pp. 1-6,
    DOI: 10.7567/JJAP.52.040201

  24. Y. Miyawaki, E. Shibata, Y. Kondo, K. Takeda, H. Kondo, K. Ishikawa, H. Okamoto, M. Sekine, and M. Hori
    Etching-Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma
    Japanese Journal of Applied Physics, Vol. 52 (2) (January 23, 2013) 020204 pp. 1-4,
    DOI: 10.7567/JJAP.52.020204

  25. S. Chen, Y. Kawai, H. Kondo, K. Ishikawa, K. Takeda, H. Kano, M. Sekine, H. Amano, and M. Hori
    Development of High-Density Nitrogen Radical Source for Low Mosaicity and High Rate Growth of InGaN Films in Molecular Beam Epitaxy
    Japanese Journal of Applied Physics, Vol. 52 (2) (January 15, 2013) 021001 pp. 1-5,
    DOI: 10.7567/JJAP.52.021001

  26. T. Hiraoka, T. Ohta, M. Ito, N. Nishizawa, and M. Hori
    Optical-Fiber-Type Broadband Cavity Ring-Down Spectroscopy Using Wavelength-Tunable Ultrashort Pulsed Light
    Japanese Journal of Applied Physics, Vol. 52 (4) (March 11, 2013) 040201 pp. 1-3,
    DOI: 10.7567/JJAP.52.040201

  27. H. Hashizume, T. Ohta, T. Mori, S. Iseki, M. Hori, and M. Ito
    Inactivation Process of Penicillium digitatum Spores Treated with Non-equilibrium Atmospheric Pressure Plasma
    Japanese Journal of Applied Physics, Vol. 52 (5) (April 15, 2013) 056202 pp. 1-4,
    DOI: 10.7567/JJAP.52.056202

  28. Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Dissociations of C5F8 and C5HF7 in Etching Plasma
    Japanese Journal of Applied Physics, Vol. 52 (5) (May 20, 2013) 05EB02 pp. 1-4,
    DOI: 10.7567/JJAP.52.05EB02

  29. Masanaga Fukasawa, Hiroyasu Matsugai, Takayoshi Honda, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Kazunori Nagahata, Fumikatsu Uesawa, Masaru Hori, and Tetsuya Tatsumi
    Wavelength dependence of photon-induced interface defects in hydrogenated silicon nitride/Si structure during plasma etching processes
    Japanese Journal of Applied Physics, Vol. 52 (5) (May 20, 2013) 05ED01 pp. 1-4,
    DOI: 10.7567/JJAP.52.05ED01

  30. Shouichiro Izumi, Masaki Minami, Michiru Kamada, Tetsuya Tatsumi, Atsushi A. Yamaguchi, Kenji Ishikawa, Masaru Hori, and Shigetaka Tomiya
    Photoluminescence Study of Plasma-Induced Damage of GaInN Single Quantum Well
    Japanese Journal of Applied Physics, Vol. 52 (8) (May 31, 2013) 08JL09 pp. 1-4,
    DOI: 10.7567/JJAP.52.08JL09

  31. Hye Ran Kim, L. Wen, Su Bong Jin, Yoon Seok Choi, In Sik Choi, M. Hori, and Jeon Geon Han
    Study on Effects of Hydrogen Flow Rates on the Properties of ZnO Thin Film Deposited by Facing Targets Sputtering System
    Japanese Journal of Applied Physics, Vol. 52 (11) (November 20, 2013) 11NB01 pp. ,
    DOI: 10.7567/JJAP.52.11NB01

  32. Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori
    High H Radical Density Produced by 1-m-length Atmospheric Pressure Microwave Plasma System
    Japanese Journal of Applied Physics, Vol. 52 (11) (November 20, 2013) 11NE01 pp. ,
    DOI: 10.7567/JJAP.52.11NE01

  33. Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Field Emissions from Organic Nanorods Armored with Metal Nanoparticles
    Japanese Journal of Applied Physics, Vol. 52 (12) (November 15, 2013) 120203 pp. 1-4,
    DOI: 10.7567/JJAP.52.120203

  34. Y. Setsuhara, K. Cho, M. Shiratani, M. Sekine, and M. Hori
    Plasma interactions with aminoacid (l-alanine) as a basis of fundamental processes in plasma medicine
    Current Applied Physics 13 (S1) (March 20, 2013) pp. S59-S63,
    DOI: 10.1016/j.cap.2013.01.030

  35. Su B. Jin, Joon S. Lee, Yoon S. Choi, In S. Choi, Jeon G. Han, and M. Hori
    Improving the gas barrier properties of a-SiOxCyNz film at low temperature using high energy and suitable nitrogen flow rate
    Current Applied Physics 13 (5) (July 2013) pp. 885-889,
    DOI: 10.1016/j.cap.2013.01.001

  36. Kosuke Takenaka, Ken Cho, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, and Masaru Hori
    Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine
    J. Phys.: Conf. Ser. 441 (1) (June 13, 2013) 012001 pp. ,
    DOI: 10.1088/1742-6596/441/1/012001

  37. H. Itoh, Y. Kubota, Y. Kashiwagi, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, H. Toyoda, and M. Hori
    A Development of Atmospheric Pressure Plasma Equipment and Its Applications for Treatment of Ag Films Formed from Nano-Particle Ink
    J. Phys.: Conf. Ser. 441 (1) (June 13, 2013) 012019 pp. ,
    DOI: 10.1088/1742-6596/441/1/012019

  38. Yuichi Setsuhara, Atsushi Miyazaki, Kosuke Takenaka, and Masaru Hori
    Plasma Interactions with Biological Molecules in Aqueous Solution
    MRS Proc 1598 (November 28, 2013) ,
    DOI: 10.1557/opl.2013.1155

  39. Hiroaki Kajiyama, Fumi Utsumi, Kae Nakamura, Hiromasa Tanaka, Masaru Hori, and Fumitaka Kikkawa
    Perspective of strategic plasma therapy for prognostic improvement of patients with ovarian cancer
    MRS Proc 1598 (November 28, 2013) ,
    DOI: 10.1557/opl.2013.1188

  40. Ryosuke Kometani, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Surface morphology on high-temperature plasma-etched gallium nitride
    Trans. Mater. Res. Soc. Jpn., Vol. 38 (2) (June, 2013) pp. 325-328,
    DOI: 10.14723/tmrsj.38.325

  41. Hye R. Kim, Su B. Jin, Long Wen, Yoon S. Choi, In S. Choi, M. Hori, and Jeon G. Han
    Study on change of electrical properties of ZnO thin films deposited in low temperature facing targets magnetron sputtering (FTS) system with H2 and O2 flow rate changes
    Journal of Ceramic Processing Research 14 (2) (2013) pp. 188-193,
    DOI:

  42. Mineo Hiramatsu, Hiroki Kondo and Masaru Hori
    Graphene Nanowalls
    in Book "New Progress on Graphene Research" (March 27, 2013) Chapter 9,
    DOI: 10.5772/3358

  43. R. Sato, D. Yasumatsu, S. Kumagai, M. Hori, and M. Sasaki
    Localized Microplasma Generation in MEMS Gas Channel
    The 20th International Display Workshops(IDW 13) (2013) ,
    DOI:

  44. 堀 勝
    社会イノベーションを実現する低温プラズマ科学技術
    PRESSe;No.32 (2013) pp. 17,
    DOI:

  45. 古閑 一憲, 白谷 正治, 節原 裕一, 関根 誠, 堀 勝
    プラズマ異方性化学気相堆積法による硬質カーボン薄膜の低温製膜
    月刊 化学工業/化学工業社;63巻 12号 (2013) pp. 908-912,
    DOI: