1. Dirk Hegemann, Enrico Korner, Shang Chen, Jan Benedikt, and Achim von Keudell
    Functional Plasma Polymers Deposited in Capacitively and Inductively Coupled Plasmas
    Applied Physics Letters, Vol. 100 (5) (February 1, 2012) 051601 pp. ,
    DOI: 10.1063/1.3681382

  2. S. Iseki, K. Nakamura, M. Hayashi, H. Tanaka, H. Kondo, H. Kajiyama, H. Kano, F. Kikkawa, and M. Hori
    Selective killing of ovarian cancer cells through induction of apoptosis by nonequilibrium atmospheric pressure plasma
    Applied Physics Letters, Vol. 100 (11) (March 15, 2012) 113702 pp. ,
    DOI: 10.1063/1.3694928

  3. Kenji Ishikawa, Hiroko Moriyama, Hiromasa Tanaka, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Real-time in situ electron spin resonance measurements on fungal spores of Penicillium digitatum during exposure of oxygen plasmas
    Applied Physics Letters, Vol. 101 (1) (July 1, 2012) 013704 pp. ,
    DOI: 10.1063/1.4733387

  4. Yusuke Abe, Atsushi Fukushima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Critical flux ratio of hydrogen radical to film precursor in microcrystalline silicon deposition for solar cells
    Applied Physics Letters, Vol. 101 (17) (October 22, 2012) 172109 pp. ,
    DOI: 10.1063/1.4764065

  5. Tatsuya Hagino, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, and Masaru Hori
    Ultrahigh-Speed Synthesis of Nanographene Using Alcohol In-Liquid Plasma
    Applied Physics Express, Vol. 5 (3) (March 6, 2012) 035101 pp. ,
    DOI: 10.1143/APEX.5.035101

  6. Y. Hara, K. Takeda, K. Yamakawa, S. Den, H. Toyoda, M. Sekine, and M. Hori
    Nitriding of Polymer by Low Energy Nitrogen Neutral Beam Source
    Applied Physics Express, Vol. 5 (3) (February 22, 2012) 035801 pp. ,
    DOI: 10.1143/APEX.5.035801

  7. Shang Chen, Unhi Honda, Tatsunari Shibata, Toshiya Matumura, Yutaka Tokuda, Kenji Ishikawa, Masaru Hori, Hiroyuki Ueda, Tsutomu Uesugi, and Tetsu Kachi
    As-grown deep-level defects in n-GaN grown by metalィCorganic chemical vapor deposition on freestanding GaN
    Journal of Applied Physics, Vol. 112 (5) (September 6, 2012) 053513 pp. ,
    DOI: 10.1063/1.4748170

  8. Tsuyoshi Yamaguchi, Tetsuya Komuro, Chishio Koshimizu, Seigo Takashima, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Direct current superposed dual-frequency capacitively coupled plasmas in selective etching of SiOCH over SiC
    Journal of Physics D: Applied Physics, Vol. 45 (2) (January, 2012) 025203 pp. ,
    DOI: 10.1088/0022-3727/45/2/025203

  9. S. Samukawa, M. Hori, S. Rauf, K. Tachibana, P. Bruggeman, G. Kroesen, J. C. Whitehead, A. B. Murphy, A. F. Gutsol, S. Starikovskaia, U. Kortshagen, J. P. Boeuf, T. J. Sommerer, M. J. Kushner, U. Czarnetzki, and N. Mason
    The 2012 Plasma Roadmap
    Journal of Physics D: Applied Physics, Vol. 5 (25) (June 7, 2012) 253001 pp. ,
    DOI: 10.1088/0022-3727/45/25/253001

  10. K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, and M. Hori
    Investigation of chemical bonding states at interface of Zn/organic materials for analysis of early stage of inorganic/organic hybrid multi-layer formation
    Thin Solid Films, Vol. 523 (November 15, 2012) pp. 15-19,
    DOI: 10.1016/j.tsf.2012.05.061

  11. K. Cho, K. Takenaka, Y. Setsuhara, M. Shiratani, M. Sekine, and M. Hori
    Effects of Irradiation with Ions and Photons in Ultraviolet-Vacuum Ultraviolet Regions on Nano-Surface Properties of Polymers Exposed to Plasmas
    Japanese Journal of Applied Physics, Vol. 51 (1) (January 20, 2012) 01AJ02 pp. ,
    DOI: 10.1143/JJAP.51.01AJ02

  12. S. Kumagai, A. Hikita, T. Iwamoto, T. Tomikawa, M. Hori, and M. Sasaki
    Multiple-Height Microstructure Fabricated by Deep Reactive Ion Etching and Selective Ashing of Resist Layer Combined with Ultraviolet Curing
    Japanese Journal of Applied Physics, Vol. 51 (1) (January 20, 2012) 01AB04 pp. ,
    DOI: 10.1143/JJAP.51.01AB04

  13. Kumagai, H. Asano, M. Hori, and M. Sasaki
    Floating Wire for Enhancing Ignition of Atmospheric Pressure Inductively Coupled Microplasma
    Japanese Journal of Applied Physics, Vol. 51 (1) (January 20, 2012) 01AA01 pp. ,
    DOI: 10.1143/JJAP.51.01AA01

  14. H. Watanabe, H. Kondo, M. Sekine, M. Hiramatsu, and M. Hori
    Control of Super Hydrophobic and Super Hydrophilic Surfaces of Carbon Nanowalls Using Atmospheric Pressure Plasma Treatments
    Japanese Journal of Applied Physics, Vol. 51 (1) (January 20, 2012) 01AJ07 pp. ,
    DOI: 10.1143/JJAP.51.01AJ07

  15. Hiroshi Yamamoto, Hiroki Kuroda, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Feature Profiles on Plasma Etch of Organic Films by a Temporal Control of Radical Densities and Real-Time Monitoring of Substrate Temperature
    Japanese Journal of Applied Physics, Vol. 51 (1) (January, 2012) 016202 pp. ,
    DOI: 10.1143/JJAP.51.016202

  16. Masanaga Fukasawa, Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Hiroyasu Matsugai, Takayoshi Honda, Masaki Minami, Fumikatsu Uesawa, Masaru Hori, and Tetsuya Tatsumi
    Vacuum Ultraviolet and Ultraviolet Radiation-Induced Effect of Hydrogenated Silicon Nitride Etching: Surface Reaction Enhancement and Damage Generation
    Japanese Journal of Applied Physics, Vol. 51 (2) (February 1, 2012) 026201 pp. ,
    DOI: 10.1143/JJAP.51.026201

  17. Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Akihiro Kono, and Koukou Suu
    Quantum Chemical Investigation of Si Chemical Dry Etching by Flowing NF3 into N2 Downflow Plasma
    Japanese Journal of Applied Physics, Vol. 51 (2) (February 1, 2012) 026505 pp. ,
    DOI: 10.1143/JJAP.51.026505

  18. S. Takahashi, R. Kawauchi, S. Takashima, S. Den, T. Katagiri, H. Kano, T. Ohta, M.i Ito, T. Suzuki, K. Takeda, and M. Hori
    An Autonomously Controllable Plasma Etching System Based on Radical Monitoring
    Japanese Journal of Applied Physics, Vol. 51 (7) (June 20, 2012) 076502 pp. ,
    DOI: 10.1143/JJAP.51.076502

  19. M. Inoue, T. Ohta, N. Takota, S. Tsuchitani, M. Ito, S. Takashima, K. Yamakawa, H. Kano, K. Takeda, and M. Hori
    Line-Profiles and Translational Temperatures of Pb Atoms in Multi-Micro Hollow Cathode Lamp Measured by Diode Laser Absorption Spectroscopy
    Japanese Journal of Applied Physics, Vol. 51 (8) (July 18, 2012) 086301 pp. ,
    DOI: 10.1143/JJAP.51.086301

  20. M. Inoue, T. Ohta, N. Takota, S. Tsuchitani, M. Ito, S. Takashima, K. Yamakawa, H. Kano, K. Takeda, M. Hori
    Properties of Indium?Zinc-Oxide Films Synthesized by Radio Frequency Magnetron Sputtering Based on Gas Phase Monitoring Using Multi-Micro Hollow Cathode Lamp
    Japanese Journal of Applied Physics, Vol. 51 (11) (October 29, 2012) 116202 pp. ,
    DOI: 10.1143/JJAP.51.116202

  21. Shang Chen, Kenji Ishikawa, Yi Lu, Ryosuke Kometani, Hiroki Kondo, Yutaka Tokuda, Takashi Egawa, Hiroshi Amano, Makoto Sekine, and Masaru Hori
    Individual Roles of Atoms and Ions during Hydrogen Plasma Passivation of Surface Defects on GaN Created by Plasma Etching
    Japanese Journal of Applied Physics, Vol. 51 (11) (October 25, 2012) 111002 pp. ,
    DOI: 10.1143/JJAP.51.111002

  22. S. Chen, Y. Lu, R. Kometani, K. Ishikawa, H. Kondo, Y. Tokuda, M. Sekine, and M. Hori
    Photoluminescence recovery by in-situ exposure of plasma-damaged n-GaN to atomic hydrogen at room temperature
    AIP Advances, Vol. 2 (2) (June 7, 2012) 022149 pp. ,
    DOI: 10.1063/1.4729448

  23. R. Shimane, S. Kumagai, M. Hori, M. Sasaki
    MINIMIZING PLASMA IRRADIATION AREA BY MICRO-NOZZLE DEVICE TOWARDS SINGLE CELL TREATNENT
    Micro & Nano Letters, 7 (12) (January 22, 2013) pp. 1210-1212,
    DOI: 10.1049/mnl.2012.0555

  24. M. Ito, T. Ohta, and M. Hori
    Plasma Agriculture
    Journal of the Korean Physical Society, Vol. 60 (6) (March 1, 2012) pp. 937-943,
    DOI: 10.3938/jkps.60.937

  25. Hiromasa Tanaka, Sachiko Iseki, Kae Nakamura, Moemi Hayashi, Hiroki Kondo, Hiroaki Kajiyama, Hiroyuki Kano, Fumitaka Kikkawa, and Masaru Hori
    Selective Killing of Ovarian Cancer Cells through Induction of Apoptosis by a Nonequilibrium Atmospheric Pressure Plasma
    MRS Online Proceedings Library 1469 (2012) ,
    DOI: 10.1557/opl.2012.927

  26. Kenji Ishikawa, Hiroko Moriyama, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas
    MRS Online Proceedings Library 1469 (2012) ,
    DOI: 10.1557/opl.2012.928

  27. 新井 祐, 渡邉 誠, 河野 昭彦, 山岸 忠明, 石川 健治, 堀 勝, 堀邊 英夫
    タングステン加熱触媒体により生成した水素ラジカルによるレジスト用ベースポリマーの分解除去
    高分子論文集 69 (6) (June 25, 2012) pp. 266-273,
    DOI: 10.1295/koron.69.266

  28. 岩田 義幸, 坂本 一, 竹田 圭吾, 堀 勝
    トリフルオロメチルトリフルオロビニルエーテル混合ガスを用いた60Hz非平衡大気圧プラズマによるビア底残渣のドライデスミア
    表面技術 63 (4) (November 16, 2012) pp. 247-251,
    DOI: 10.4139/sfj.63.247

  29. 堀 勝, 鈴木 俊哉, 竹田 圭吾, 近藤 博基, 石川 健治, 関根 誠
    プラズマナノテクノロジーによる10mmサイズ、超高アスペクト比有機ナノピラーの室温近傍形成とフレキシブルディスプレイへの応用
    名古屋大学ベンチャー・ビジネス・ラボラトリーニュース 研究紹介(1);第17巻第1号 (Summer, 2012) No.33,
    DOI: