1. Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties
    Carbon, Vol. 68 (March, 2014) pp. 380-388,
    DOI: 10.1016/j.carbon.2013.11.014

  2. Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, and Masaru Hori
    Carbon nanowall scaffold to control culturing of cervical cancer cells
    Applied Physics Lettets, Vol. 105 (24) (December 16, 2014) 244105 pp. ,
    DOI: 10.1063/1.4902054

  3. Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls
    Applied Physics Express, Vol. 7 (4) (March 25, 2014) 046201 pp. ,
    DOI: 10.7567/APEX.7.046201

  4. B. B. Sahu, Kyung Sik Shin, Su. B. Jin, Jeon G. Han, K. Ishikawa, and M. Hori
    Effectiveness of plasma diagnostic in ultra high frequency and radio frequency hybrid plasmas for synthesis of silicon nitride film at low temperature
    Journal of Applied Physics, Vol. 116 (13) (Octorber 1, 2014) 134903 pp. ,
    DOI: 10.1063/1.4896833

  5. Toshiya Suzuki, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Recovery of atom density drift caused by change in reactor wall conditiond by real-time autonomous control
    Journal of Physics D: Applied Physics, Vol. 47 (42) (October 22, 2014) 422002 pp. ,
    DOI: 10.1088/002-3727/47/42/422002

  6. Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric pressure plasma jet
    Plasma Source Science Technology, Vol. 23 (March 3, 2014) 025004 pp. ,
    DOI: 10.1088/0963-0252/23/2/025004

  7. Yi Lu, Hiroki Kondo, Kenji Ishikawa, Osamu Oda, Keigo Takeda, Makoto Sekine, Hiroshi Amano, and Masaru Hori
    Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma – effect of TMG flow rate and VHF power
    Journal of Crystal Growth, Vol. 391 (April 1, 2014) pp. 97-103,
    DOI: 10.1016/j.jcrysgro.2014.01.014

  8. Hye Ran Kim, Jay Bum Kim, Yoon Seok Choi, Masaru Hori, and Jeon Geon Han
    Deposition of AZO thin film using RF and ICP at facing magnetron sputtering system
    Surface Coating Technology, Vol. 259 (November 25, 2014) pp. 39-43,
    DOI: 10.1016/j.surfcoat.2014.07.035

  9. H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, and M. Hori
    Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells
    Plasma Medicine, Vol. 2 (4) (July 23, 2014) pp. 207-220,
    DOI: 10.1615/PlasmaMed.2013008267

  10. Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50 °C
    Japanese Journal of Applied Physics, Vol. 53 (1) (2014) 010305 pp. 1-4,
    DOI: 10.7567/JJAP.53.010305

  11. Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals
    Japanese Journal of Applied Physics, Vol. 53 (1) (2014) 010209 pp. 1-6,
    DOI: 10.7567/JJAP.53.010209

  12. Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls
    Japanese Journal of Applied Physics, Vol. 53 (4) (March 20, 2014) 040307 pp. ,
    DOI: 10.7567/JJAP.53.040307

  13. Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution
    Japanese Journal of Applied Physics, Vol. 53 (4) (March 7, 2014) 040305 pp. 1-4,
    DOI: 10.7567/JJAP.53.040305

  14. Toshiya Suzuki, KeigoTakeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Temporal changes in absolute atom densities in H2 and N2 mixture gas plasmas by surface modifications of reactor wall
    Japanese Journal of Applied Physics, Vol. 53 (5) (April 3, 2014) 050301 pp.,
    DOI: 10.7567/JJAP.53.050301

  15. Hiroaki Kajiyama, Kae Nakamura, Fumi Utsumi, Hiromasa Tanaka, Masaru Hori, and Fumitaka Kikkawa
    Perspective of strategic plasma therapy in patients with epithelial ovarian cancer: A short review of plasma in cancer treatment
    Japanese Journal of Applied Physics, Vol. 53 (5S1) (April 14, 2014) 05FA05 pp. ,
    DOI: 10.7567/JJAP.53.05FA05

  16. Ryutaro Shimane, Shinya Kumagai, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Masaru Hori, and Minoru Sasaki
    Localized plasma irradiation through a micronozzle for individual cell treatment
    Japanese Journal of Applied Physics, Vol. 53 (11S) (November, 2014) 11RB03 pp. ,
    DOI: 10.7567/JJAP.53.11RB03

  17. Machiko Iida, Ichiro Yajima, Nobutaka Ohgami, Haruka Tamura, Kozue Takeda, Sahoko Ichihara, Masaru Hori, and Masashi Kato
    The effects of non-thermal atmospheric pressure plasma irradiation on expression levels of matrix metalloproteinases in benign melanocytic tumors in RET-transgenic mice
    EUROPEAN JOURNAL OF DERMATOLOGY, Vol. 24 (3) (May, 2014) pp. 392-394,
    DOI: 10.1684/ejd.2014.2330

  18. Ichiro Yajima, Machiko Iida, Mayuko Y. Kumasaka, Yasuhiro Omata, Nobutaka Ohgami, Jie Chang, Sahoko Ichihara, Masaru Hori, and Masashi Kato
    Non-equilibrium atmospheric pressure plasmas modulate cell cycle-related gene expressions in melanocytic tumors of RET-transgenic mice
    EXPERIMENTAL DERMATOLOGY, Vol. 23 (6) (June, 2014) pp. 424-425,
    DOI: 10.1111/exd.12415

  19. F. Utsumi, H. Kajiyama, K. Nakamura, H. Tanaka, M. Hori, and F. Kikkawa
    Selective cytotoxicity of indirect nonequilibrium atmospheric pressure plasma against ovarian clear-cell carcinoma,
    Springerplus, Vol. 3 (July 31, 2014) pp. 398,
    DOI: 10.1186/2193-1801-3-398

  20. Ryoto Sato, Daisuke Yasumatsu, Shinya Kumagai, Keigo Takeda, Masaru Hori, and Minoru Sasaki
    An atmospheric pressure inductively coupled microplasma source of vacuum ultraviolet light
    Sensors and Actuators A, Vol. 215 (August 2014) pp. 144–149,
    DOI: 10.1016/j.sna.2013.09.018

  21. Yasuhiro Omata, Machiko Iida, Ichiro Yajima, Kozue Takeda, Nobutaka Ohgami, Masaru Hori, and Masashi Kato
    Non-thermal atmospheric pressure plasmas as a novel candidate for preventive therapy of melanoma
    ENVIRONMENTAL HEALTH AND PREVENTIVE MEDICINE, Vol. 19 (5) (September, 2014) pp. 367-369,
    DOI: 10.1007/s12199-014-0399-1

  22. Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi,Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinnya Toyokuni,Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
    Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma
    IEEE TRANSACTIONS ON PLASMA SCIENCE (December, 2014) pp. 3760-3764,
    DOI: 10.1109/TPS.2014.2353659

  23. Yasumasa Okazaki, Yue Wang, Hiromasa Tanaka, Masaaki Mizuno, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Koji Uchida, Fumitaka Kikkawa, Masaru Hori, and Shinya Toyokuni
    Direct exposure of non-equilibrium atmospheric pressure plasma confers simultaneous oxidative and ultraviolet modifications in biomoleculels
    Journal of Clinical Biochemistry and Nutrition, Vol. 55 (3) (November, 2014) pp. 207-215,
    DOI: 10.3164/jcbn.14-40

  24. 高島 成剛, 浅見 悦男, 早川 雅浩, 山川 晃司, 山本 博之, 田 昭治, 和田 仁志, 堀 勝
    アルミニウム合金のプラズマ窒化処理と処理物
    アルトピア VOL.44 NO.10 (October 15, 2014) pp. 18-23,
    DOI: