1. Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
    Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions
    Scientific Reports, Vol. 9 (September 20, 2019) 13657 pp. 1-12,
    DOI: 10.1038/s41598-019-50136-w

  2. Kazuya Nakane, Rene H. J. Vervuurt, Takayoshi Tsutsumi, Nobuyoshi Kobayashi, and Masaru Hori
    In Situ Monitoring of Surface Reactions during Atomic Layer Etching of Silicon Nitride Using Hydrogen Plasma and Fluorine Radicals
    ACS Applied Materials Interfaces, Vol. 11 (40) (September 12, 2019) pp. 37263-37269,
    DOI: 10.1021/acsami.9b11489

  3. Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori
    Single-step, low temperature simultaneous formations and in-situ binding of tin oxide nanoparticles to graphene nanosheets by in-liquid plasma
    ACS Applied Nano Materials, Vol. 2 (2) (February 22, 2019) pp. 849-854,
    DOI: 10.1021/acsanm.8b02201

  4. Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori
    Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation
    ACS Applied Bio Materials, Vol. 2 (7) (August 8, 2019) pp. 2698-2702,
    DOI: 10.1021/acsabm.9b00178

  5. Kiichi Niitsu, Taiki Nakanishi, Shunya Murakami, Maya Matsunaga, Atsuki Kobayashi, Karim Nissar Mohammad, Jun Ito, Naoya Ozawa, Tetsunari Hase, Hiromasa Tanaka, Mitsuo Sato, Hiroki Kondo, Kenji Ishikawa, Hidefumi Odaka, Yoshinori Hasegawa, Masaru Hori, and Kazuo Nakazato
    A 65-nm CMOS Fully-Integrated Analysis Platform Using an On-Chip Vector Network Analyzer and a Transmission-Line-Based Detection Window for Analyzing Circulating Tumor Cell and Exosome
    IEEE Transactions on Biomedical Circuits and Systems (TBioCAS), Vol. 13 (2) (April, 2019) pp. 470-479,
    DOI: 10.1109/TBCAS.2018.2882472

  6. Naoyuki Kurake, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
    Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma
    Archives of Biochemistry and Biophysics, Vol. 662 (February, 2019) pp. 83-92,
    DOI: 10.1016/j.abb.2018.12.001

  7. Yasumasa Okazaki, Hiromasa Tanaka, Masaru Hori, and Shinya Toyokuni
    l-Dehydroascorbic acid recycled by thiols efficiently scavenges non-thermal plasma-induced hydroxyl radicals
    Archives of Biochemistry and Biophysics, Vol. 669 (July 15, 2019) pp. 87-95,
    DOI: 10.1016/j.abb.2019.05.019

  8. Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
    Molecular mechanisms of non-thermal plasma-induced effects in cancer cells
    Biological Chemistry, Vol. 400 (1) (October 6, 2018) pp. 87-91,
    DOI: 10.1515/hsz-2018-0199

  9. Shun Imai, Hiroki Kondo, Cho Hyungjun, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    Pt nanoparticle-supported carbon nanowalls electrode with improved durability for fuel cell applications using C2F6/H2 plasma-enhanced chemical vapor deposition
    Applied Physics Express, Vol. 12 (1) (December 3, 2018) 015001 pp. 1-5,
    DOI: 10.7567/1882-0786/aaf0ab

  10. Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowalls scaffolds
    Applied Physics Express, Vol. 12 (2) (January 18, 2019) 025006 pp. 1-4,
    DOI: 10.7567/1882-0786/aaf469

  11. Sotaro Yamaoka, Hiroki Kondo, Hiroshi Hashizume, Kenji Ishikawa, Hiromasa Tanaka, and Masaru Hori
    Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy
    Applied Physics Express, Vol. 12 (6) (May 8, 2019) 066001 pp. 1-5,
    DOI: 10.7567/1882-0786/ab1a58

  12. Timothy R. Brubaker, Kenji Ishikawa, Hiroki Kondo, Takayoshi Tsutsumi, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bilen, and Masaru Hori
    Liquid dynamics in response to an impinging low-temperature plasma jet
    Journal of Physics D: Applied Physics, Vol. 52 (7) (February 2019) 075203 pp. 1-11,
    DOI: 10.1088/1361-6463/aaf460

  13. Shun Imai, Hiroki Kondo, Hyungjun Cho, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    Effects of three-dimensional structure on electrochemical oxygen reduction characteristics of Pt-nanoparticle-supported carbon nanowalls
    Journal of Physics D: Applied Physics, Vol. 52 (10) (January 11, 2019) 105503 pp. 1-8,
    DOI: 10.1088/1361-6463/aaf8e0

  14. Keigo Takeda, Hiromasa Yamada, Kenji Ishikawa, Hajime Sakakita, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Yoshihiro Akimoto, Yosky Kataoka, Naoaki Yokoyama, Yuzuru Ikehara, and Masaru Hori
    Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources
    Journal of Physics D: Applied Physics, Vol. 52 (16) (February 15, 2019) 165202 pp. 1-13,
    DOI: 10.1088/1361-6463/aaff44

  15. Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, and Masaru Hori
    Facile synthesis of SnO2-graphene composites employing nonthermal plasma and SnO2 nanoparticles-dispersed ethanol
    Journal of Physics D: Applied Physics, Vol. 52 (17) (February 18, 2019) 175301 pp. 1-9,
    DOI: 10.1088/1361-6463/ab03c4

  16. Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    Rapid thermal-cyclic atomic-layer etching of titanium nitride in CHF3/O-2 downstream plasma
    Journal of Physics D: Applied Physics, Vol. 52 (47) (September 9, 2019) 475106 pp. 1-9,
    DOI: 10.1088/1361-6463/ab3cf3

  17. Yan Zhang, Kenji Ishikawa, Miran Mozeti?, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, and Masaru Hori
    Surface modifications of polyethylene terephthalate (PET) by VUV and radicals in oxygen and hydrogen plasmas
    Plasma Processes and Polymers, Vol. 16 (6) (May 31, 2019) 1800175 pp. 1-11,
    DOI: 10.1111/ppap.201800175

  18. Yan Zhang, Kenji Ishikawa, Miran Mozeti?, Makoto Sekine, Takayoshi Tsutsumi, Hiroki Kondo, and Masaru Hori
    Cover picture 6/2019
    Plasma Processes and Polymers, Vol. 16 (6) (May 31, 2019) 1970013 p. 1,
    DOI: 10.1002/ppap.201970013

  19. Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    Simultaneous Achievement of Antimicrobial Property and Plant Growth Promotion using Plasma Activated Benzoic Compound Solution 
    Plasma Processes and Polymers, Vol. 16 (8) (May 24, 2019) 1900023 pp. 1-6,
    DOI: 10.1002/ppap.201900023

  20. Hideshi Miyajima, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Review of methods for the mitigation of plasma‐induced damage to low‐dielectric‐constant interlayer dielectrics used for semiconductor logic device interconnects
    Plasma Processes and Polymers, Vol. 16 (9) (June 27, 2019) 1900039 pp. 1-20,
    DOI: 10.1002/ppap.201900039

  21. Naoyuki Iwata, Vladislav Gamaleev, Jun-Seok Oh, Takayuki Ohta, Masaru Hori, and Masafumi Ito
    Investigation on the long-term bactericidal effect and chemical composition of radical-activated water
    Plasma Processes and Polymers, Vol. 16 (10) (June 17, 2019) 1900055 pp. 1-9,
    DOI: 10.1002/ppap.201900055

  22. Vladislav Gamaleev, Naoyuki Iwata, Masaru Hori, Mineo Hiramatsu, and Masafumi Ito
    Direct Treatment of Liquids Using Low-Current Arc in Ambient Air for Biomedical Applications
    Applied Sciences-Basel, Vol. 9 (17) (August 26, 2019) 3505 pp. 1-17,
    DOI: 10.3390/app9173505

  23. Kotaro Sato, Lei Shi, Fumiya Ito, Yuuki Ohara, Yashiro Motooka, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Tasuku Hirayama, Hideharu Hibi, and Shinya Toyokuni
    Non-thermal plasma specifically kills oral squamous cell carcinoma cells in a catalytic Fe(II)-dependent manner
    Journal of Clinical Biochemistry and Nutrition, Vol. 65 (1) (June 1, 2019) pp. 8-15,
    DOI: 10.3164/jcbn.18-91

  24. Thi-Thuy-Nga Nguyen, Minoru Sasaki, Naoto Kihara, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    Floating-Wire-Assisted Remote Generation of High-Density Atmospheric Pressure Plasma
    Journal of Applied Physics, Vol. 125 (6) (February 14, 2019) 063304 pp. 1-11,
    DOI: 10.1063/1.5081875

  25. Yoichiro Sato, Nobuhiko Imajyo, Kenji Ishikawa, Rao Tummala, and Masaru Hori
    Laser-drilling formation of through-glass-via (TGV) on polymer-laminated glass
    Journal of Materials Science: Materials in Electronics, Vol. 30 (June, 2019) pp. 10183-10190,
    DOI: 10.1007/s10854-019-01354-5

  26. Hiroyuki Fukumizu, Makoto Sekine, Masaru Hori, Koji Kanomaru, and Takuo Kikuchi
    Atomic layer etching of AlGaN using Cl2 and Ar gas chemistry and UV damage evaluation
    Journal of Vacuum Science Technology A, Vol. 37 (2) (January, 2019) 021002 pp. 1-7,
    DOI: 10.1116/1.5063795

  27. Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Tomonori Saeki, Kenji Ishikawa, and Masaru Hori
    Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma for thermal-cyclic atomic layer etching of silicon nitride
    Journal of Vacuum Science Technology A, Vol. 37 (5) (August 1, 2019) 051002 pp. 1-8,
    DOI: 10.1116/1.5111663

  28. Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shigeru Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Effects of BCl3 addition to Cl2 gas on etching characteristics of GaN at high temperature
    Journal of Vacuum Science Technology B, Vol. 37 (2) (March 12, 2019) 021209 pp. 1-6,
    DOI: 10.1116/1.5082345

  29. Yasuhiro Isobe, Takayuki Sakai, Naoharu Sugiyama, Ichiro Mizushima, Kyoichi Suguro, Naoto Miyashita, Yi Lu, Amalraj Frank Wilson, Dhasiyan Arun Kumar, Nobuyuki Ikarashi, Hiroki Kondo, Kenji Ishikawa, Naohiro Shimizu, Osamu Oda, Makoto Sekine and Masaru Hori
    Effects of plasma shield plate design on epitaxial GaN films grown for large-sized wafers in radical-enhanced metalorganic chemical vapor deposition
    Journal of Vacuum Science Technology B, Vol. 37 (3) (May 19, 2019) 031201 pp. 1-7,
    DOI: 10.1116/1.5083970

  30. Yasuhiro Isobe, Takayuki Sakai, Kyoichi Suguro, Naoto Miyashita, Amalraj Frank Wilson, Hiroki Kondo, Kenji Ishikawa, Naohiro Shimizu, Osamu Oda, Makoto Sekine, and Masaru Hori
    Simulation-aided design of very-high-frequency excited nitrogen plasma confinement using a shield plate
    Journal of Vacuum Science Technology B, Vol. 37 (6) (December 3, 2019) 061215 pp.1-6,
    DOI: 10.1116/1.5114831

  31. Ranjit R. Borude, Hirotsugu Sugiura, Kenji Ishikawa, Takayoshi Tsutsumi, Hiroki Kondo, Jeon Geon Han, and Masaru Hori
    Modifications of surface and bulk properties of magnetron-sputtered carbon films employing a post-treatment of atmospheric pressure plasma
    Japanese Journal of Applied Physics, Vol. 58 (SA) (January 7, 2019) SAAC07 pp. 1-9,
    DOI: 10.7567/1347-4065/aaec87

  32. Atsushi Tanide, Shohei Nakamura, Akira Horikoshi, Shohei Takatsuji, Motohiro Kohno, Kazuo Kinose, Soichi Nadahara, Masazumi Nishikawa, Akinori Ebe, Kenji Ishikawa, and Masaru Hori
    Hetero-epitaxial growth of a GaN film by the combination of magnetron sputtering with Ar/Cl2 gas mixtures and a separate supply of nitrogen precursors from a high density radical source
    Japanese Journal of Applied Physics, Vol. 58 (SA) (January 21, 2019) SAAF04 pp. 1-7,
    DOI: 10.7567/1347-4065/aaeb39

  33. Yusuke Fukunaga, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Narrow free-standing features fabricated by top-down self-limited trimming of organic materials using precisely temperature-controlled plasma etching system
    Japanese Journal of Applied Physics, Vol. 58 (2) (January 21, 2019) 020906 pp. 1-5,
    DOI: 10.7567/1347-4065/aaf92a

  34. Hideshi Miyajima, Kei Watanabe, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Adhesion enhancement and amine reduction using film redeposited at the interface of a stack of plasma-enhanced CVD dielectrics for Cu/low-k interconnects
    Japanese Journal of Applied Physics, Vol. 58 (2) (January 23, 2019) 020908 pp. 1-5,
    DOI: 10.7567/1347-4065/aafb5b

  35. Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, Masaru Hori
    Control of sp2-C cluster incorporation of amorphous carbon films grown by H-radical-injection CH4/H2 plasma enhanced chemical vapor deposition
    Japanese Journal of Applied Physics, Vol. 58 (3) (February 13, 2019) 030912 pp. 1-4,
    DOI: 10.7567/1347-4065/aafd49

  36. Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Electronic properties and primarily dissociation channels of fluoroethane compounds
    Japanese Journal of Applied Physics, Vol. 58 (SE) (May 1, 2019) SEEF01 pp. 1-18,
    DOI: 10.7567/1347-4065/ab09ca

  37. Mitsuhiro Omura, Junichi Hashimoto, Takahiro Adachi, Yusuke Kondo, Masao Ishikawa, Junko Abe, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine, and Masaru Hori
    Formation mechanism of sidewall striation in high-aspect-ratio hole etching
    Japanese Journal of Applied Physics, Vol. 58 (SE) (May 17, 2019) SEEB02 pp. 1-7,
    DOI: 10.7567/1347-4065/ab163c

  38. Kenji Ishikawa, Tatsuo Ishijima, Tatsuru Shirafuji, Silvia Armini, Emilie Despiau-Pujo, Richard A. Gottscho, Keren J. Kanarik, Gert J. Leusink, Nathan Marchack, Takahide Murayama, Yasuhiro Morikawa, Gottlieb S. Oehrlein, Sangwuk Park, Hisataka Hayashi, and Keizo Kinoshita
    Rethinking surface reactions in nanoscale dry processes toward atomic precision and beyond: A physics and chemistry perspective
    Japanese Journal of Applied Physics, Vol. 58 (SE) (May 30, 2019) SE0801 pp. 1-14,
    DOI: 10.7567/1347-4065/ab163e

  39. Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, and Kenji Ishikawa
    Progress and perspectives in dry processes for leading-edge manufacturing of devices: toward intelligent processes and virtual product developmen
    Japanese Journal of Applied Physics, Vol. 58 (SE) (May 30, 2019) SE0804 pp. 1-21,
    DOI: 10.7567/1347-4065/ab163b

  40. Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, and Kenji Ishikawa
    Progress and perspectives in dry processes for nanoscale feature fabrication: fine pattern transfer and high-aspect-ratio feature formation
    Japanese Journal of Applied Physics, Vol. 58 (SE) (May 30, 2019) SE0802 pp. 1-24,
    DOI: 10.7567/1347-4065/ab1638

  41. Taku Iwase, Yoshito Kamaji, Song Yun Kang, Kazunori Koga, Nobuyuki Kuboi, Moritaka Nakamura, Nobuyuki Negishi, Tomohiro Nozaki, Shota Nunomura, Daisuke Ogawa, Mitsuhiro Omura, Tetsuji Shimizu, Kazunori Shinoda, Yasushi Sonoda, Haruka Suzuki, Kazuo Takahashi, Takayoshi Tsutsumi, Kenichi Yoshikawa, Tatsuo Ishijima, and Kenji Ishikawa
    Progress and perspectives in dry processes for emerging multidisciplinary applications: how can we improve our use of dry processes?
    Japanese Journal of Applied Physics, Vol. 58 (SE) (May 30, 2019) SE0803 pp. 1-17,
    DOI: 10.7567/1347-4065/ab163a

  42. Hideshi Miyajima, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Chemical bonding structure in porous SiOC films (k<2.4) with high plasma-induced damage resistance
    Micro and Nano Engineering, Vol. 3 (March 7, 2019) pp. 1-6,
    DOI: 10.1016/j.mne.2019.02.005

  43. Masakazu Tomatsu , Mineo Hiramatsu, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    Electrochemical Reaction in Hydrogen Peroxide and Structural Change of Platinum Nanoparticle-Supported Carbon Nanowalls Grown Using Plasma-Enhanced Chemical Vapor Deposition
    C-Journal of Carbon Research, Vol. 5 (January 24, 2019) 1 pp. 1-11,
    DOI: 10.3390/c5010007

  44. Hirotsugu Sugiura, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    Effects of Ion Bombardment Energy Flux on Chemical Compositions and Structures of Hydrogenated Amorphous Carbon Films Grown by a Radical-Injection Plasma-Enhanced Chemical Vapor Deposition
    C-Journal of Carbon Research, Vol. 5 (January 24, 2019) 8 pp. 1-12,
    DOI: 10.3390/c5010008

  45. Takayuki Ohta, Hironori Ito, Kenji Ishikawa, Hiroki Kondo, Mineo Hiramatsu, and Masaru Hori
    Atmospheric Pressure Plasma-Treated Carbon Nanowalls’ Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS)
    C-Journal of Carbon Research, Vol. 5 (July 18, 2019) 40 pp. 1-10,
    DOI: 10.3390/c5030040

  46. Hai Minh Nguyer, Mako Kumeuchi, Shinya Kumagai, Kenji Ishikawa, Masaru Hori, and Minoru Sasaki
    Batch Fabrication of Nano-Gap Electrode Array Using Photo-Patterning and Resist UV-Curing
    IEEJ Transactions on Sensors and Micromachines, Vol. 139 (1) (January 9, 2019) pp. 27-28,
    DOI: 10.1541/ieejsmas.139.27

  47. 竹田圭吾, 高島成剛, 堀勝
    プラズマプロセスにおける吸収分光計測の基礎
    J. Plasma Fusion Res. 95 (4), プラズマ核融合学会誌 (April, 2019) pp.180-186,
    DOI: