1. F. Ye, H. Kaneko, Y. Nagasaka, R. Ijima, K. Nakamura, M. Nagaya, K. Takayama, H. Kajiyama, T. Senga, H. Tanaka, M. Mizuno, F. Kikkawa, M. Hori, and H. Terasaki
    Plasma-activated medium suppresses choroidal neovascularization in mice: a new therapeutic concept for age-related macular degeneration
    Scientific Reports, Vol. 5 (January 09, 2015) 7705 pp. ,
    DOI: 10.1038/srep07705

  2. Tetsuo Adachi, Hiromasa Tanaka, Saho Nonomura, Hirokazu Hara, Shin-ichi Kondo, and Masaru Hori
    Plasma-activated medium induces A549 cell injury via a spiral apoptotic cascade involving the mitochondrial-nuclear network
    Free Radical Biology and Medicine, Vol. 79 (Feb., 2015) pp. 28-44,
    DOI: 10.1016/j.freeradbiomed.2014.11.014

  3. Hidefumi Uchiyama, Qing-Li Zhao, Mariame Ai Hassan, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Kenji ishikawa, Masaru Hori, and Takashi Kondo
    EPR-Spin Trapping and Flow Cytometric Studies of Free Radicals Generated Using Cold Atmospheric Argon Plasma and X-Ray Irradiation in Aqueous Solutions and Intracellular Milieu
    PLoS ONE, Vol. 10 (8) (August 28, 2015) e0136956 pp. 1-19,
    DOI: 10.1371/journal.pone.0136956

  4. Satomi Tajima, Toshio Hayashi, and Masaru Hori
    Evaluation of the Difference in the Rate Coefficients of F-2 + NOx (x=1 or 2) -> F + FNOx by the Stereochemical Arrangement Using the Density Functional Theory
    Journal of Physical Chemistry A, Vol. 119 (8) (February 26, 2015) pp. 1381-1387,
    DOI: 10.1021/jp510886b

  5. Hiroshi Hashizume, Takayuki Ohta, Masaru Hori, and Masafumi Ito
    Growth control of Saccharomyces cerevisiae through dose of oxygen atoms
    Applied Physics Lettets, Vol. (September 01, 2015) ,
    DOI: 10.1063/1.4929952

  6. Haruka Suzuki, Suguru Nakano, Hitoshi Itoh, Makoto Sekine, Masaru Hori, and Hirotaka Toyoda
    New line plasma source excited by 2.45 GHz microwave at atmospheric pressure
    Applied Physics Express, Vol. 8 (Feb. 05, 2015) ,
    DOI: 10.7567/APX.8.036001

  7. B. B. Sahu, Jeon G. Han, Masaru Hori, and Keigo Takeda
    Langmuir probe and optical emission spectroscopy studies in magnetron sputtering plasmas for Al-doped ZnO film deposition
    Journal of Applied Physics, Vol. 117 (January 8, 2015) 023301 pp. ,
    DOI: 10.1063/1.4905541

  8. B. B. Sahu, Jeon G. Han, Hye R. Kim, Kenji Ishikawa, and Masaru Hori
    Experimental evidence of warm electron populations in magnetron sputtering plasmas
    Journal of Applied Physics, Vol. 117 (January 15, 2015) 033301 pp. ,
    DOI: 10.1063/1.4905901

  9. Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions
    Journal of Physics D: Applied Physics, Vol. 48 (4) (Jan. 10, 2015) 045202 pp. ,
    DOI: 10.1088/0022-3727/48/4/045202

  10. Bibhuti Bhusan Sahu, Jeon G. Han, Kyung-Sik Shin, Kenji Ishikawa, Masaru Hori, and Yudai Miyawaki
    Plasma diagnostic approach for high rate nanocrystalline Si synthesis in RF/UHF hybrid plasmas using a PECVD process Plasma Sources
    Plasma Source Science Technology, Vol. 24 (2) (March 10, 2015) 025019 pp. ,
    DOI: 10.1088/0963-0252/24/2/025019

  11. Masashi Ueda, Daiki Yamagami, Keiko Watanabe, Asami Mori,Hiroyuki Kimura, Kohei Sano, Hideo Saji, Kenji Ishikawa, Masaru Hori,Hajime Sakakita, Yuzuru Ikehara, and Shuichi Enomoto
    Cover Picture: Plasma Process. Polym. 12∕2015
    Plasma Processes and Polymers, Vol. 12 (12) (December 1, 2015) ,
    DOI: 10.1002/ppap.201570043

  12. Masashi Ueda, Daiki Yamagami, Keiko watanabe, Asami Mori, Hiroyuki Kimura, Masaru Hori, Mounir Laroussi, Kai Masur, and Yuzuru Ikehara
    Histological and Nuclear Medical Comparison of Inflammation After Hemostasis with Non-Thermal Plasma and Thermal Coagulation
    Plasma Processes and Polymers, Vol. 12 (12) (December 1, 2015) pp. 1329-1469,
    DOI: 10.1002/ppap.201500099

  13. Jun-Ichiro Ikeda, Yoko Tsuruta, Satoshi Nojima, Hajime Sakakita, Masaru Hori, and Yuzuru Ikehara
    Anti-Cancer Effects of Nonequilibrium Atmospheric Pressure Plasma on Cancer-Initiating Cells in Human Endometrioid Adenocarcinoma Cells
    Plasma Processes and Polymers, Vol. 12 (12) (December 2015) pp. 1370-1376,
    DOI: 10.1002/ppap.201500097

  14. Sanae Ikehara, Hajime Sakakita, Kenji Ishikawa, Yoshihiro Akimoto, Takashi Yamaguchi, Masahiro Yamagishi, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Hayao Nakanishi, Nobuyuki Shimizu, Masaru Hori, and Yuzuru Ikehara
    Plasma Blood Coagulation Without Involving the Activation of Platelets and Coagulation Factors
    Plasma Processes and Polymers, Vol. 12 (12) (December 2015) pp. 1348-1353,
    DOI: 10.1002/ppap.201500132

  15. Masaru Hori, Mounir Laroussi, Kai Masur, and Yuzuru Ikehara
    Plasma Processes and Cancer - Special Topical Cluster of the 2nd IWPCT Meeting
    Plasma Processes and Polymers, Vol. 12 (12) (December 2015) pp. 1336-1337 ,
    DOI: 10.1002/ppap.201500180

  16. H. Tanaka, M. Mizuno, S. Toyokuni, S. Maruyama, Y. Kodera, H. Terasaki, T. Adachi, M. Kato, F. Kikkawa, and M. Hori
    Cancer therapy using non-thermal atmospheric pressure plasma with ultra-highelectron density
    Physics of Plasmas, Vol. (October 20, 2015) 122004 pp. ,
    DOI: 10.1063/1.4933402

  17. Akihiko Kono, Yu Arai, Yousuke Goto, Masashi Yamamoto, Seiji Takahashi, Tadaaki Yamagishi, Kenji Ishikawa, Masaru Hori, and Hideo Horibe
    Estimation of activation energies for decomposition reaction of polymer by hydrogen radicals generated using hot-wire catalyzer
    Thin Solid Films, Vol. 575 (February 3, 2015) pp. 17-20,
    DOI: 10.1016/j.tsf.2014.10.020

  18. Yu Arai, Yusuke Noto, Yousuke Goto, Seiji Takahashi, Masashi Yamamoto, Akihiko Kono, Tatsuo Ishijima, Kenji Ishikawa, Masaru Hori, and Hideo Horibe
    Study of the decomposition mechanism of PMMA-type polymers by hydrogen radicals
    Thin Solid Films, Vol. 575 (Feb. 3, 2015) pp. 12-16,
    DOI: 10.1016/j.tsf.2014.10.020

  19. P. Slobodian, U. Cvelbar, P. Riha, R. Olejnik, J. Matyas, G. Filipič, H. Watanabe, S. Tajima, H. Kondo, M. Sekine, and M. Hori
    High sensitivity of a carbon nanowall-based sensor for detection of organic vapours
    RSC Advances, Vol. 5 (2015) pp. 90515-90520,
    DOI: 10.1039/C5RA12000D

  20. T. Tsutsumi, T. Ohta, K. Ishikawa, K. Takeda, H. Kondo, M. Sekine, M. Hori, and M. Ito
    Robust characteristics of semiconductor-substrate temperature measurement by autocorrelation-type frequency-domain low-coherence interferometry
    Japanese Journal of Applied Physics, Vol. 54 (1S) (November, 2014) 01AB03 pp. ,
    DOI: 10.7567/JJAP.54.01AB03

  21. Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
    Robust characteristics of semiconductor-substrate temperature-measurement method using auto-correlation type frequency-domain low-coherence interferometry
    Japanese Journal of Applied Physics, Vol. 54 (1S) (November 14, 2014) 01AB03 pp. ,
    DOI: 10.7567/JJAP.54.01AB03

  22. Hiroshi Hashizume,, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    Quantitative clarification of inactivation mechanism of Penicillium digitatum spores treated with neutral oxygen radicals
    Japanese Journal of Applied Physics, Vol. 54 (1S) (November 14, 2014) 01AG05 pp. ,
    DOI: 10.7567/JJAP.54.01AG05

  23. Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase
    Japanese Journal of Applied Physics, Vol. 54 (4) (March 04, 2015) 040301 pp. ,
    DOI: 10.7567/JJAP.54.040301

  24. Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton
    Japanese Journal of Applied Physics, Vol. 54 (4) (March 12, 2015) 040303 pp. ,
    DOI: 10.7567/JJAP.54.040303

  25. Kyung Sik Shin, Bibhuti Bhusan Sahu, Jeon Geon Han, and Masaru Hori
    Effectiveness of hydrogen dilution for designing amorphous to crystalline Si thin film in inductively coupled plasma assisted magnetron sputtering
    Japanese Journal of Applied Physics, Vol. 54 (6) (May 29, 2015) 060303 pp. ,
    DOI: 10.7567/JJAP.54.060303

  26. Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Electronic properties of HBr, O2 and Cl2 used in Si etching
    Japanese Journal of Applied Physics, Vol. 54 (6S2) (May 28, 2015) 06GA03 pp. ,
    DOI: 10.7567/JJAP.54.06GA03

  27. Zecheng Liu, Jialin Pan, Takashi Kako, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo,Osamu Oda, Makoto Sekine, and Masaru Hori
    Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures
    Japanese Journal of Applied Physics, Vol. 54 (6S2) (June 1, 2015) 06GB04 pp. ,
    DOI: 10.7567/JJAP.54.06GB04

  28. Kyung Sik Shin, Bibhuti Bhusan Sahu, Jeon Geon Han, and Masaru Hori
    Utility of dual frequency hybrid source for plasma and radical generation
    Japanese Journal of Applied Physics, Vol. 54 (7) (June 3, 2015) 076201 pp. ,
    DOI: 10.7567/JJAP.54.076201

  29. T. Tsutsumi, T. Ohta, K. Takeda, M. Ito, and M. Hori
    Wavelength dependence for silicon-wafer temperature measurement by autocorrelationtype frequency-domain low-coherence interferometry
    Appl. Opt., Vol. 54 (23) (August, 2015) pp. 7088-7093,
    DOI: 10.1364/AO.54.007088

  30. Koji Torii, Suguru Yamada, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Kuniaki Tanahashi, Naoki Iwata, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Goro Nakayama, Masahiko Koike, Hiroyuki Sugimoto, Shuji Nomoto, Atsushi Natsume, Michitaka Fujiwara, Masaaki Mizuno, Masaru Hori, Hideyuki Saya, and Yasuhiro Kodera
    Effectiveness of plasma treatment on gastric cancer cells
    Gastric Cancer, Vol. 18 (3) (July 2015) pp. 635-643,
    DOI: 10.1007/s10120-014-0395-6

  31. Machiko Iida, Ichiro Yajima, Nobutaka Ohgami, Li Xiang, Cunchao Zou, Kenji Ishikawa, Masaru Hori, and Masashi Kato
    Decreased expression levels of cell cycle regulators and matrix metalloproteinases in melanoma from RET-transgenic mice by single irradiation of non-equilibrium atmospheric pressure plasmas
    International Journal of Clinical and Experimental Pathology, Vol. 8 (8) (August 15, 2015) pp. 9326-9331,
    DOI:

  32. K. Niitsu, S. Ota, K. Gamo, H. Kondo, M. Hori, and K. Nakazato
    Development of Microelectrode Arrays Using Electroless Plating for CMOS-Based Direct Counting of Bacterial and HeLa Cells
    IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 9, NO. 5 (October 09, 2015) pp. 607-619,
    DOI: 10.1109/TBCAS.2015.2479656

  33. T. Tsutsumi, Y. Fukunaga, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, and M. Hori
    Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching
    IEEE Trans. Semicond. Manuf. 28 (4) (August 15, 2016) pp. 515-520,
    DOI: 10.1109/TSM.2015.2470554

  34. Hye R. Kim, Jay B. Kim, Yoon S. Choi, M. Hori, and Jeon G. Han
    Electrical,Optical and Structural Properties of AZO Thin Film Deposited Using Facing Targets Magnetron Sputtering System with Inductively Coupled Plasma
    Sci. Adv. Mater., Vol. 7 (January, 2015) pp. 107-112,
    DOI: 10.1166/sam.2015.2088

  35. Su B. Jin, Wen Long, B. B. Sahu, Jeon G. Han, and M. Hori
    Improving the gas barrier and mechanical properties of a-SiO (x) films synthesized at low temperature by using high energy and hydrogen flow rate control
    JOURNAL OF THE KOREAN PHYSICAL SOCIETY, Vol. 66 (9) (May 2015) pp. 1410-1415,
    DOI: 10.3938/jkps.66.1410

  36. Jun S. Lee, Su B. Jin, N. Vichiansan, Jeon G. Han, M. Hori, and K. Leksakul
    SiCxHy-based hydrophobic thin films with good chemical and mechanical properties synthesized by PECVD at various substrate temperatures
    CURRENT APPLIED PHYSICS, Vol. 15 (11) (November 2015) pp. 1342-1347,
    DOI: 10.1016/j.cap.2015.07.021

  37. N. Hattori, S. Yamada, K. Torii, S. Takeda, K. Nakamura, H. Tanaka, H. Kajiyama, M. Kanda, T. Fujii, G. Nakayama, H. Sugimoto, M. Koike, S. Nomoto, M. Fujiwara, M. Mizuno, M. Hori, and Y. Kodera
    Effectiveness of plasma treatment on pancreatic cancer cells
    International Journal of Oncology, Vol. 47 (September 7, 2015) pp. 1655-1662,
    DOI: 10.3892/ijo.2015.3149

  38. H. Tanaka, M. Mizuno, K. Ishikawa, H. Kondo, K. Takeda, H. Hashizume, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Akiyama, S. Maruyama, S. Yamada, Y. Kodera, H. Kaneko, H. Terasaki, H. Hara, T. Adachi, M. Iida, I. Yajima, M. Kato, F. Kikkawa, and M. Hori
    Plasma with high electron density and plasma-activated medium for cancer treatment
    Clinical Plasma Medicine 3 (December 18, 2015) pp. 72-76,
    DOI: 10.1016/j.cpme.2015.09.001

  39. 田中 宏昌, 水野 正明, 豊國 伸哉, 丸山 彰一, 小寺 泰弘, 足立 哲夫, 寺崎 浩子, 加藤 昌志, 吉川 史隆, 堀 勝
    プラズマ活性溶液の細胞影響 Effects of Plasma-Activated Medium on Cells
    プラズマ・核融合学会誌 91, No.12 (July 7, 2015) pp. 776-779,
    DOI: