1. Neelakandan M. Santhosh, Gregor Filipi?, Eva Kovacevic, Andrea Jagodar, Johannes Berndt, Thomas Strunskus, Hiroki Kondo, Masaru Hori, Elena Tatarova, and Uro? Cvelbar
    N-Graphene Nanowalls via Plasma Nitrogen Incorporation and Substitution: The Experimental Evidence
    Nano-Micro Letters, Vol. 12 (February 17, 2020) 53 pp. 1-17,
    DOI: 10.1007/s40820-020-0395-5

  2. Francisco J. Barba, Shahin Roohinejad, Kenji Ishikawa, Sze Ying Leong, Alaa El-Din A Bekhit, Jorge A. Saraiva, and Nikolai Lebovka
    Electron spin resonance as a tool to monitor the influence of novel processing technologies on food properties
    Trends in Food Science and Technology, Vol. 100 (April 17, 2020) pp. 77-87,
    DOI: 10.1016/j.tifs.2020.03

  3. Tomonori Ichikawa, Naohiro Shimizu, Kenji Ishikawa, Mineo Hiramatsu, and Masaru Hori
    Synthesis of isolated carbon nanowalls via high-voltage nanosecond pulses in conjunction with CH4/H2 plasma enhanced chemical vapor deposition
    Carbon, Vol. 161 (May 1, 2020) pp. 403-412,
    DOI: 10.1016/j.carbon.2020.01.064

  4. Hirotsugu Sugiura, Hiroki Kondo, Kimitaka Higuchi, Shigeo Arai, Ryo Hamaji, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    Reaction science of layer-by-layer thinning of graphene with oxygen neutrals at room temperature
    Carbon, Vol. 170 (December 1, 2020) pp. 93-99,
    DOI: 10.1016/j.carbon.2020.07.052

  5. Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Alpysbayeva, Renata Nemkayeva, Meruert Kadyr, Tlekkabul Ramazanov, Maratbek Gabdullin, Didar Batryshev, Masaru Hori
    Synthesis of carbon nanowalls on the surface of nanoporous alumina membranes by RI-PECVD method
    Applied Surface Science, Vol. 523 (September 1, 2020) 146533 pp. 1-6,
    DOI: 10.1016/j.apsusc.2020.146533

  6. Shou Ito, Kiyota Sakai, Vladislav Gamaleev, Masafumi Ito, Masaru Hori, Masashi Kato, Motoyuki Shimizu
    Oxygen radical based on non-thermal atmospheric pressure plasma alleviates ligninderived phenolic toxicity in yeast
    Biotechnology for Biofuels, Vol. 13 (1) (January 28, 2020) 18 pp. 1-13,
    DOI: 10.1186/s13068-020-1655-9

  7. Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, and Masaru Hori
    Influence of chamber pressure on the crystal quality of homo-epitaxial GaN grown by Radical Enhanced MOCVD (REMOCVD)
    Journal of Crystal Growth Vol. 549 (November 1, 2020) 125863 pp. 1-5,
    DOI: 10.1016/j.jcrysgro.2020.125863

  8. Mati Ur Rehman, Paras Jawaid, Qing Zhao, Masaki Misawa, Kenji Ishikawa, Masaru Hori, Tadamichi Shimizu, Jun-ichi Saitoh, Kyo Noguchi, and Takashi Kondo
    Small size gold nanoparticles enhance apoptosis-induced by cold atmospheric plasma via depletion of intracellular GSH and modification of oxidative stress
    Cell Death Discovery, Vol. 6 (September 10, 2020) 83 pp. 1-12,
    DOI: 10.1038/s41420-020-00314-x

  9. Nobuhisa Yoshikawa, Wenting Liu, Kae Nakamura, Kosuke Yoshida, Yoshiki Ikeda, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa, and Hiroaki Kajiyama
    Plasma-activated medium promotes autophagic cell death along with alteration of the mTOR pathway
    Scientific Reports, Vol. 10 (January 31, 2020) 1614 pp. 1-8,
    DOI: 10.1038/s41598-020-58667-3

  10. Naho Itagaki, Yuta Nakamura, Ryota Narishige, Keigo Takeda, Kunihiro Kamataki, Kazunori Koga, Masaru Hori, and Masaharu Shiratani
    Growth of single crystalline films on lattice-mismatched substrates through 3D to 2D mode transition
    Scientific Reports, Vol. 10 (March 13, 2020) 4669 pp. 1-10,
    DOI: 10.1038/s41598-020-61596-w

  11. Thi-Thuy-Nga Nguyen, Minoru Sasaki, Hidefumi Odaka, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    Floating-wire-assisted atmospheric-pressure H2/Ar plasma forming Sn spheres by reducing SnO2 films on glass substrate
    Scientific Reports, Vol. 10 (October 20, 2020) 17770 pp. 1-12,
    DOI: 10.1038/s41598-020-74663-z

  12. Vladislav Gamaleev, Takayoshi Tsutsumi, Mineo Hiramatsu, Masafumi Ito, and Masaru Hori
    Generation and diagnostics of ambient air glow discharge in centimeter-order gaps
    IEEE Access, Vol. 8 (April 15, 2020) pp. 72607-72619,
    DOI: 10.1109/ACCESS.2020.2988091

  13. Vladislav Gamaleev, Nikolay Britun, and Masaru Hori
    Control and Stabilization of Centimeter Scale Glow Discharge in Ambient Air Using Pulse-Width Modulation
    IEEE Access, Vol. 8, (November 3, 2020) pp. 201486-201497,
    DOI: 10.1109/ACCESS.2020.3035534

  14. Kenji Ishikawa, Yugo Hosoi, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
    Non-thermal plasma-activated lactate solution kills U251SP glioblastoma cells viareductive stress and altered metabolism
    Arcihves of Biochemistry and Biophysics, Vol. 688 (July 30, 2020) 108414 pp. 1-9,
    DOI: 10.1016/j.abb.2020.108414

  15. Atsushi Ando, Kenji Ishikawa, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    In-Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1-Butanol
    ChemNanoMat, Vol. 6 (4) (April 1, 2020) pp. 604-609,
    DOI: 10.1002/cnma.201900676

  16. Atsushi Ando, Kenji Ishikawa, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Cover picture In-Liquid Plasma Synthesis of Nanographene with a Mixture of Methanol and 1-Butanol
    ChemNanoMat, Vol. 6 (4) (April 1, 2020) pp. 481,
    DOI: 10.1002/cnma.202000117

  17. Nishant Sirse, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori, and Albert Rogers Ellingboe
    Electron and negative ions dynamics in a pulsed 100 MHz capacitive discharge produced in O2 and Ar/O2/C4F8 gas mixture
    Plasma Sources Science Technology, Vol. 29 (3) (March 12, 2020) 035025 pp. 1-,
    DOI: 10.1088/1361-6595/ab7086

  18. Yousuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi, Akio Ui, Masato Akita, Shotaro Oka, and Masaru Hori
    Numerical simulations of stable, high-electron-density atmospheric pressure argon plasma under pin-to-plane electrode geometry: Effects of applied voltage polarity
    Journal of Physics D: Applied Physics, Vol. 53 (26) (April 30, 2020) 265204 pp. 1-14,
    DOI: 10.1088/1361-6463/ab7df0

  19. Yoichiro Sato, Kaede Katsuno, Hidefumi Odaka, Nobuhiko Imajyo, Kenji Ishikawa, and Masaru Hori
    Steering of surface discharges on Through-Glass-Vias (TGVs) combined with high-density nonequilibrium atmospheric pressure plasma generation
    Journal of Physics D: Applied Physics, Vol. 53 (43) (July 31, 2020) 435203 pp. 1-11,
    DOI: 10.1088/1361-6463/aba1ad

  20. Tsuyohito Ito, Taku Goto, Kenichi Inoue, Kenji Ishikawa, Hiroki Kondo, Masaru Hori, Yoshiki Shimizu, Yukiya Hakuta, and Kazuo Terashima
    In-plane modification of hexagonal boron nitride particles via plasma in solution
    Applied Physics Express, Vol. 13 (6) (May 20, 2020) 066001 pp. 1-3 ,
    DOI: 10.35848/1882-0786/ab916c

  21. Yosuke Sato, Kenji Ishikawa, Takayoshi Tsutsumi, and Masaru Hori
    Numerical analysis of coaxial dielectric barrier helium discharges: Three-stage mode transitions and internal bullet propagation
    Applied Physics Express, Vol. 13 (8) (July 10, 2020) 086001 pp. 1-5,
    DOI: 10.35848/1882-0786/aba3f2

  22. Yukihiro Kurokawa, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, and Masaru Hori
    Laser-induced-plasma-activated medium enables killing of HeLa cells
    Applied Physics Express, Vol. 13 (10) (September 18, 2020) 106001 pp. 1-5,
    DOI: 10.35848/1882-0786/abb68c

  23. Yuta Tanaka, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Masashi Kato, Masaru Hori, and Masafumi Ito
    Atomic oxygen radical induced intracellular oxidization of mould spore cells
    Plasma Processes and Polymers, Vol. 17 (10) (October 1, 2020) 2000001 pp. 1-8,
    DOI: 10.1002/ppap.202000001

  24. Kae Nakamura, Nobuhisa Yoshikawa, Masato Yoshihara, Yoshiki Ikeda, Akihiro Higashida, Akihiro Niwa, Takahiro Jindo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa, and Hiroaki Kajiyama
    Adjusted multiple gases in the plasma flow induces differential antitumor potentials of plasma-activated solutions
    Plasma Processes and Polymers, Vol. 17 (10) (October 1, 2020) 1900259 pp. 1-14,
    DOI: 10.1002/ppap.201900259

  25. Kae Nakamura, Nobuhisa Yoshikawa, Masato Yoshihara, Yoshiki Ikeda, Akihiro Higashida, Akihiro Niwa, Takahiro Jindo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa, and Hiroaki Kajiyama
    Inside Back Cover Picture: Plasma Process. Polym. 10/2020
    Plasma Processes and Polymers, Vol. 17 (10) (October 1, 2020) 2070026 p. 1,
    DOI: 10.1002/ppap.202000001

  26. Pietro Favia, Eloisa Sardella, adn Hiromasa Tanaka
    Special issue: Advanced applications of plasmas in Life Sciences 2020
    Plasma Processes and Polymers, Vol. 17 (10) (October 1, 2020) 2070028 p. 1,
    DOI: 10.1002/ppap.202070028

  27. Petr Slobodian, Pavel Riha, Hiroki Kondo, Uros Cvelbar, Robert Olejnik, Jiri Matyas, Makoto Sekine, and Masaru Hori
    Transparent elongation and compressive strain sensors based on aligned carbon nanowalls embedded in polyurethane
    Sensors and Actuators A: Physical Vol. 306 (May 1, 2020) 111946 pp. 1-9,
    DOI: 10.1016/j.sna.2020.111946

  28. Atsushi Tanide, S. Nakamura, A. Horikoshi, S. Takatsuji, M. Kohno, K. Kinose, S. Nadahara, M. Nishikawa, A. Ebe, Kenji Ishikawa, and M. Hori
    Roles of atomic nitrogen/hydrogen in GaN film growth by chemically-assisted sputtering with dual plasma sources
    ACS Omega, Vol. 5 (41) (October 8, 2020) pp. 26776-26785,
    DOI: 10.1021/acsomega.0c03865

  29. Pankaj Attri, Kenji Ishikawa, Takamasa Okumura, Kazunori Koga, and Masaharu Shiratani
    Plasma agriculture from laboratory to farm: A review
    Processes, Vol. 8 (8) (August, 2020) 1002 pp. 1-21,
    DOI: 10.3390/pr8081002

  30. Hirotsugu Sugiura, Yasuyuki Ohashi, Lingyun Jia, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Toshio Hayashi, Keigo Takeda, Makoto Sekine, and Masaru Hori
    Gas-phase and film analysis of hydrogenated amorphous carbon films: Effect of ion bombardment energy flux on sp2 carbon structures
    Diamond and Related Materials, Vol. 104 (April 1, 2020) 107651 pp. 1-10,
    DOI: 10.1016/j.diamond.2019.107651

  31. Vladislav Gamaleev, Naoyuki Iwata, Ginji Ito, Masaru Hori, Mineo Hiramatsu, and Masafumi Ito
    Scalable Treatment of Flowing Organic Liquids Using Ambient-Air Glow Discharge for Agricultural Applications
    Applied Sciences-Basel, Vol. 10 (3) (January 23, 2020) 801 pp. 1-17,
    DOI: 10.3390/app10030801

  32. Yusuke Fukunaga, Roberto C. Longo, Peter Ventzek, Barton Lane, Alok Ranjan, Gyeong .S. Hwang, Greg Hartmann, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Interaction of oxygen radicals with polystyrene and polyethylene polymer films: a mechanistic study
    Journal of Applied Physics, Vol. 127 (2) (January 10, 2020) 023303 pp. 1-9,
    DOI: 10.1063/1.5127863

  33. Masaki Hasegawa Takayoshi Tsutsumi, Atsushi Tanide, Shohei Nakamura, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals
    Journal of Vacuum Science and Technology A, Vol. 38 (4) (June 29, 2020) 042602 pp. 1-11,
    DOI: 10.1116/6.0000124

  34. Toshiyuki Sasaki, Kenichi Yoshikawa, Kazuhito Furumoto, Itsuko Sakai, Hisataka Hayashi, Makoto Sekine, and Masaru Hori
    Silver film etching using halogen gas plasma
    Journal of Vacuum Science and Technology A, Vol. 38 (5) (August 17, 2020) 053006 pp. 1-12,
    DOI: 10.1116/6.0000286

  35. Hiroyuki Fukumizu, Makoto Sekine, Masaru Hori, and Paul C. McIntyre
    Initial growth analysis of ALD Al2O3 film on hydrogen-terminated Si substrate via in situ XPS
    Japanese Journal of Applied Physics, Vol. 59 (1) (January 9, 2020) 016504 pp. 1-6,
    DOI: 10.7567/1347-4065/ab6273

  36. Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Electronic properties and primarily dissociation channels of fluoromethane compounds
    Japanese Journal of Applied Physics, Vol. 59 (SJ) (June 1, 2020) SJJE02 pp. 1-12,
    DOI: 10.35848/1347-4065/ab7e3f

  37. Shin Kajita, Koji Asaoka, Hirohiko Tanaka, Ryosuke Nishio, Takayoshi Tsutsumi, Masaru Hori, and Noriyasu Ohno
    Atomic nitrogen density measurements by actinometry method in the toroidal device NAGDIS-T
    Japanese Journal of Applied Physics, Vol. 59 (8) (July 30, 2020) 086002 pp. 1-6,
    DOI: 10.35848/1347-4065/aba456

  38. Shigeyuki Takagi, Takumi Chikata, and Makoto Sekine
    Plasma simulation for dual-frequency capacitively coupled plasma incorporating gas flow simulation
    Japanese Journal of Applied Physics, Vol. 60 (SA) (October 30, 2020) SAAB07 pp. 1-8,
    DOI: 10.35848/1347-4065/abc106

  39. Feng Dong Jia, Yong Wu, Qi Min, Mao Gen Su, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Zhi Ping Zhong
    Characterization of a Microsecond Pulsed atmospheric pressure Ar Plasma using Laser Scattering and Optical Emission Spectroscopy
    Plasma Science Technology, Vol. 22 (6) (June 1, 2020) 065404 pp. 1-8,
    DOI: 10.1088/2058-6272/ab84e2

  40. Satyananda Kar, Bibhuti Bhusan Sahu, Hiroyuki Kousaka, Jeon Geon Han, and Masaru Hori
    Study of the effect of normal load on friction coefficient and wear properties of CNx thin films
    AIP Advances, Vol. 10 (6) (June 10, 2020) 065214 pp. 1-8,
    DOI: 10.1063/5.0009783

  41. Jaeho Kim, Keigo Takeda, Hirotomo Itagaki, Xue-lun Wang, Shingo Hirose, Hisato Ogiso, Tetsuji Shimizu, Naoto Kumagai, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, and Hajime Sakakita
    Measurements of nitrogen atom density in a microwave-excited plasma jet produced under moderate pressures
    IEEJ Transactions on Electrical and Electronic Engineering, Vol. 15 (9) (July 22, 2020) pp. 1281-1287,
    DOI: 10.1002/tee.23194