1. Lei Shi, Fumiya Ito, Yue Wang, Yasumasa Okazaki, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Tasuku Hirayama, Hideko Nagasawa, Des R. Richardson, and Shinya Toyokuni
    Non-thermal plasma induces a stress response in mesothelioma cells resulting in increased endocytosis, lysosome biogenesis and autophagy
    Free Radical Biology and Medicine, Vol. 108 (July 1, 2017) pp. 904-917,
    DOI: 10.1016/j.freeradbiomed.2017.04.368

  2. Kiyota Sakai, Saki Kojiya, Junya Kamijo, Yuta Tanaka, Kenta Tanaka, Masahiro Maebayashi, Jun-Seok Oh, Masafumi Ito, Masaru Hori, Motoyuki Shimizu, and Masashi Kato
    Oxygen-radical pretreatment promotes cellulose degradation by cellulolytic enzymes
    Biotechnology for Biofuels, Vol. 10 (December 4, 2017) pp. 290-301,
    DOI: 10.1186/s13068-017-0979-6

  3. Shigeomi Takeda, Suguru Yamada, Norifumi Hattori, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Mitsuro Kanda, Daisuke Kobayashi, Chie Tanaka, Tsutomu Fujii, Michitaka Fujiwara, Masaaki Mizuno, Masaru Hori, and Yasuhiro Kodera
    Intraperitoneal administration of plasma-activated medium: Proposal of a novel treatment option for peritoneal metastasis from gastric cancer
    Annals of Surgical Oncology, Vol. 24 (5) (May 1, 2017) pp. 1188-1194,
    DOI: 10.1245/s10434-016-5759-1

  4. Kae Nakamura, Yang Peng, Fumi Utsumi, Hiromasa Tanaka, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori, Fumitaka Kikkawa, and Hiroaki Kajiyama
    Novel intraperitoneal treatment with non-thermal plasma-activated medium inhibits metastatic potential of ovarian cancer Cells
    Scientific Reports, Vol. 7 (July 20, 2017) 6085 pp. 1-14,
    DOI: 10.1038/s41598-017-05620-6

  5. Moniruzzaman Rohan, Qing-Li Zhao, Paras Jawaid, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Kei Tomihara, Noguchi Kyo, Takashi Kondo, and Makoto Noguchi
    Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation
    Scientific Reports, Vol. 7 (September 15, 2017) 11659 pp. 1-12,
    DOI: 10.1038/s41598-017-11877-8

  6. Yusuke Abe, Kenji Ishikawa, Keigo Takeda, Takayoshi Tsutsumi, AtsushiFukushima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Absolute density of precursor SiH3 radicals and H atoms in H2-diluted SiH4 gas plasma for deposition of microcrystalline silicon films
    Applied Physics Lettets, Vol. 110 (4) (January, 2017) 043902 pp. 1-5,
    DOI: 10.1063/1.4974821

  7. Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
    Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy
    Physical Chemistry Chemical Physics, Vol. 19 (April 27, 2017) pp. 13438-13442,
    DOI: 10.1039/C7CP00489C

  8. Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori
    Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet
    Applied Physics Express, Vol. 10 (February 21, 2017) 036201 pp. 1-4,
    DOI: 10.7567/APEX.10.036201

  9. Zecheng Liu, Masato Imamura, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori
    Reduction of chlorine radical chemical etching of GaN under simultaneous plasma-emitted photon irradiation
    Applied Physics Express, Vol. 10 (July 24, 2017) 086502 pp. 1-4,
    DOI: 10.7567/APEX.10.086502

  10. Uros Cvelbar, Cristina Canal, and Masaru Hori
    Plasma-inspired biomaterials
    Journal of Physics D: Applied Physics, Vol. 50 (4) (February 2, 2017) 040201 pp. 1-4,
    DOI: 10.1088/1361-6463/50/4/040201

  11. Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Takashi Kondo, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
    Effects of OH and NO radicals in the aqueous phase on H2O2 and NO2- generated in plasma-activated medium
    Journal of Physics D: Applied Physics, Vol. 50 (15) (March 2017) 155202 pp. 1-9,
    DOI: 10.1088/1361-6463/aa5f1d

  12. Yoshinobu Ohya, Kenji Ishikawa, Tatsuya Komuro, Tsuyoshi Yamaguchi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Spatial profiles of interelectrode electron density in direct current superposed dual-frequency capacitively coupled plasmas
    Journal of Physics D: Applied Physics, Vol. 50 (15) (March 10, 2017) 155201 pp. 1-13,
    DOI: 10.1088/1361-6463/aa60f7

  13. Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals
    Journal of Physics D: Applied Physics, Vol. 50 (15) (April 20, 2017) 155208 pp. 1-7,
    DOI: 10.1088/1361-6463/aa61d7

  14. Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori
    Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air
    Journal of Physics D: Applied Physics, Vol. 50 (19) (April 13, 2017) 195202 pp. 1-13,
    DOI: 10.1088/1361-6463/aa6555

  15. Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Makoto Miura, Masaru Kurihara, Kenji Maeda, Nobuyuki Negishi, Yasushi Sonoda, Motohiro Tanaka, Naoki Yasui, Masaru Izawa, Yohei Ishii, Kazumasa Okuma, Tiffany Saldana, James Manos, Kenji Ishikawa, and Masaru Hori
    Selective atomic-level etching using two heating procedures, infrared irradiation and ion bombardment, for next-generation semiconductor device manufacturing
    Journal of Physics D: Applied Physics, Vol. 50 (19) (April 13, 2017) 194001 pp. 1-13,
    DOI: 10.1088/1361-6463/aa6874

  16. I. Adamovich, S. D. Baalrud, A. Bogaerts, P. J. Bruggeman, M. Cappelli, V. Colombo, U. Czarnetzki, U. Ebert, J. G. Eden, P. Favia, D. B. Graves, S. Hamaguchi, G. Hieftje, M. Hori, I. D. Kaganovich, U. Kortshagen, M. J. Kushner, N. J. Mason, S. Mazouffre, S. Mededovic Thagard, H-R. Metelmann, A. Mizuno, E. Moreau, A. B. Murphy, B. A. Niemira, G. S. Oehrlein, Z. Lj Petrovic, L. C. Pitchford, Y-K. Pu, S. Rauf, O. Sakai, S. Samukawa, S. Starikovskaia, J. Tennyson, K. Terashima, M. M. Turner, M. C. M. van de Sanden, and A. Vardelle
    The 2017 Plasma Roadmap: Low temperature plasma science and technology
    Journal of Physics D: Applied Physics, Vol. 50 (32) (July 14, 2017) 323001 pp. 1-46,
    DOI: 10.1088/1361-6463/aa76f5

  17. N. Sirse, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori, and A. R. Ellingboe
    Measurement of F-, O- and CF3- densities in 60 and 100 MHz asymmetric capacitively coupled plasma discharge produced in an Ar/O2/C4F8 gas mixture
    Journal of Physics D: Applied Physics, Vol. 50 (33) (July 27, 2017) 335205 pp. 1-,
    DOI: 10.1088/1361-6463/aa77c4

  18. Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masafumi Ito, and Masaru Hori
    High-durability catalytic electrode composed of Pt nanoparticles-supported carbon nanowalls synthesized by radical-injection plasma-enhanced chemical vapor deposition
    Journal of Physics D: Applied Physics, Vol. 50 (40) (October, 2017) 40LT01 pp. 1-4,
    DOI: 10.1088/1361-6463/aa8131

  19. Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation: Induction of apoptotic death in HeLa cells following cultivation in non-equilibrium (cold) atmospheric pressure plasma-activated medium
    Plasma Processes and Polymers, Vol. 14 (11) (November 16, 2017) e1700123 pp. 1-6,
    DOI: 10.1002/ppap.201700123

  20. Hiroaki Kajiyama, fumi Utsumi, Kae Nakamura, Hiromasa Tanaka, Shinya Toyokuni, Masaru Hori, and Fumitaka Kikkawa
    Future perspective of strategic non-thermal plasma therapy for cancer treatment
    Journal of Clinical Biochemistry and Nutrition, Vol. 60 (1) (January, 2017) pp. 33-38,
    DOI: 10.3164/jcbn.16-65

  21. Hiromasa Tanaka, and Masaru Hori
    Medical applications of non-thermal atmospheric pressure plasma
    Journal of Clinical Biochemistry and Nutrition, Vol. 60 (1) (January, 2017) pp. 29-32 ,
    DOI: 10.3164/jcbn.16-67

  22. Takayoshi Tsutsumi, A. Greb, A. R. Gibson, Masaru Hori, D. OConnell, and T. Gans
    Investigation of the radially resolved oxygen dissociation degree and local mean electron energy in oxygen plasmas in contact with different surface materials
    Journal of Applied Physics, Vol. 121 (14) (April, 2017) 143301 pp. 1-9,
    DOI: 10.1063/1.4979855

  23. Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama,Fumitaka Kikkawa, Masaaki Mizuno, Yuzuru Ikehara and Masaru Hori
    Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucosesolution by irradiation with non-equilibrium atmospheric pressure plasma
    Journal of Applied Physics, Vol. 122 (14) (October 10, 2017) 143301 pp. 1-8,
    DOI: 10.1063/1.5006598

  24. Timothy Ryan Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Hiroki KONDO, Hiroshi Hashizume, Hiromasa Tanaka, Sean David Knecht, Sven Bilen, and Masaru Hori
    Dynamic analysis of reactive oxygen nitrogen species in plasma-activated culture medium by UV absorption spectroscopy
    Journal of Applied Physics, Vol. 122 (22) (December 10, 2017) 213301 pp. 1-7,
    DOI: 10.1063/1.4999256

  25. Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori, Masaru Zaitsu, Akiko Kobayashi, Toshihisa Nozawa, and Nobuyoshi Kobayashi
    Atomic layer etching of SiO2 by alternating an O2 plasma with fluorocarbon film deposition
    Journal of Vacuum Science Technololgy A, Vol. 35 (1) (January, 2017) 01A103 pp. 1-4,
    DOI: 10.1116/1.4971171

  26. Yan Zhang, Takuya Takeuchi, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Surface roughening of photoresist after change of the photon/radical and ion treatment sequence
    Journal of Vacuum Science Technololgy A, Vol. 35 (6) (December, 2017) 060606 pp. 1-6,
    DOI: 10.1116/1.4994218

  27. Naoki Wada, Jun-ichiro Ikeda, HiromasaTanaka, Hajime Sakakita, Masaru Hori, Yuzuru Ikehara, and Eiichi Morii
    Effect of plasma-activated medium on the decrease of tumorigenic population in lymphoma
    Pathology Research and Practice, Vol. 213 (7) (April 20, 2017) pp. 773-777,
    DOI: 10.1016/j.prp.2017.04.003

  28. Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    Lipid droplets exhaustion with caspases activation in hela cells cultured in plasma-activated medium (PAM) observed by multiplex coherent anti-Stokes Raman scattering (CARS) microscopy
    Biointerphases 12 (3) (August 3, 2017) 031006 pp. 1-8,
    DOI: 10.1116/1.4997170

  29. Zecheng Liu, Jialin Pan, Atsuki Asano, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori
    Investigation of effects of ion energies on both plasma-induced damage and surface morphologies and optimization of high-temperature Cl2 plasma etching of GaN
    Japanese Journal of Applied Physics, Vol. 56 (2) (January 24, 2017) 026502 pp. 1-6,
    DOI: 10.7567/JJAP.56.026502

  30. Atsushi Ando, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Mineo Hiramatsu, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Tomoko Suzuki, Sakae Inoue, Yoshinori Ando, and Masaru Hori
    Characteristics of optical emissions of arc plasma processing for high-rate synthesis of highly crystalline single-walled carbon nanotubes
    Japanese Journal of Applied Physics, Vol. 56 (3) (February 3, 2017) 035101 pp. 1-5,
    DOI: 10.7567/JJAP.56.035101

  31. Toshinari Ueyama, Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Manabu Iwata, Yoshinobu Ohya, Hideo Sugai, and Masaru Hori
    Electron behaviors in afterglow of synchronized dc-imposed pulsed Fuorocarbon-based plasmas
    Japanese Journal of Applied Physics, Vol. 56 (6S2) (May 31, 2017) 06HC03 pp. 1-5,
    DOI: 10.7567/JJAP.56.06HC03

  32. Shinnosuke Takai, Yi Lu, Osamu Oda, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Growth of InN firms by radical-enhanced metal organic chemical vapor deposition at a low temperature of 200 °C
    Japanese Journal of Applied Physics, Vol. 56 (6S2) (May 26, 2017) 06HE08 pp. 1-6,
    DOI: 10.7567/JJAP.56.06HE08

  33. Masakazu Tomatsu, Mineo Hiramatsu, John S. Foord, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, and Masaru Hori
    Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition
    Japanese Journal of Applied Physics, Vol. 56 (6S2) (June 1, 2017) 06HF03 pp. 1-6,
    DOI: 10.7567/JJAP.56.06HF03

  34. Kenji Ishikawa, Kazuhiro Karahashi, Takanori Ichiki, Jane P. Chang, Steven M. George, W. M. M. Kessels, Hae June Lee, Stefen Tinck, Jung Hwan Um, and Keizo Kinoshita
    Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions?
    Japanese Journal of Applied Physics, Vol. 56 (6S2) (June 1, 2017) 06HA02 pp. 1-13,
    DOI: 10.7567/JJAP.56.06HA02

  35. Yusuke Fukunaga, Takayoshi Tsutsumi, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    Temperature dependence of protection layer formation on organic trench sidewall in H2/N2 plasma etching with control of substrate temperature
    Japanese Journal of Applied Physics, Vol. 56 (7) (June 14, 2017) 076202 pp. 1-6,
    DOI: 10.7567/JJAP.56.076202

  36. Zecheng Liu, Atsuki Asano, Masato Imamura, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Osamu Oda, Makoto Sekine, and Masaru Hori
    Thermally enhanced formation of photon-induced damage on GaN films in Cl2 plasma
    Japanese Journal of Applied Physics, Vol. 56 (9) (August 29, 2017) 096501 pp. 1-7,
    DOI: 10.7567/JJAP.56.096501

  37. Yan Zhang, Takuya Takeuchi, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Makoto Sekine, and Masaru Hori
    Dependence of absolute photon flux on infrared absorbance alteration and surface roughness on photoresist polymers irradiated with vacuum ultraviolet photons emitted from HBr plasma
    Japanese Journal of Applied Physics, Vol. 56 (12) (November 15, 2017) 126503 pp. 1-6,
    DOI: 10.7567/JJAP.56.126503

  38. Koichi Matsushima, Tomoaki Ide, Keigo Takeda, Masaru Hori, Daisuke Yamashita, Hyunwoong Seo, Kazunori Koga, Masaharu Shiratani, Naho Itagaki
    Densities and surface reaction probabilities of oxygen and nitrogen atoms during sputter deposition of ZnInON on ZnO
    IEEE Transactions on Plasma Science, Vol. 45 (2) (March 2017) pp. 323-327 ,
    DOI: 10.1109/TPS.2016.2632124

  39. Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Hans-Robert Metelmann, and Masaru Hori
    State of the art in medical applicationsusing non-thermal atmospheric pressure plasma
    Reviews of Modern Plasma Physics, Vol. 1 (July 6, 2017) 3 pp. 1-89,
    DOI: 10.1007/s41614-017-0004-3

  40. Christian Seebauer, Thomas von Woedtke, Klaus-Dieter Weltmann, Vandana Miller, Masaru Hori and Hans-Robert Metelmann
    Abstract 18: Therapeutic potential of cold physical plasma in palliative cancer care: Introduction and perspectives
    Clinical Cancer Research 23 (23 supplement) (December, 2017) pp. 18,
    DOI: 10.1158/1557-3265.AACRAHNS17-18

  41. Takayoshi Tsutsumi, M. Zaitsu, Akiko Kobayashi, N. Kobayashi, and Masaru Hori
    Advanced plasma etching processing: Atomic layer etching for nanoscale devices
    ECS Transactions 77 (3) (May 28, 2017) pp. 25-28,
    DOI: 10.1149/07703.0025ecst

  42. Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Kurihara, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    Thermal cyclic atomic-level etching of nitride films: A novel way for atomic-scale nanofabrication
    ECS Transactions 80 (3) (September 17, 2017) pp. 3-14,
    DOI: 10.1149/08003.0003ecst

  43. 篠田和典,三浦真,安井尚輝,伊澤勝,石川健治,堀勝
    最先端半導体プロセスにおける原子層エッチング
    J. Plasma Fusion Res 93 (2) (2017) プラズマ核融合学会誌 小特集「原子層プロセスの現状と展望」 (2017) ,
    DOI:

  44. 石川健治,近藤隆,竹田圭吾,呉準席,橋爪博司,田中宏昌,近藤博基,太田貴之,伊藤昌文,関根誠,堀勝
    電子スピン共鳴法を活用したプラズマバイオ反応プロセスの診断
    J. Plasma Fusion Res 93 (5) (2017) プラズマ核融合学会誌 小特集「気相-液相反応プロセスにおける診断技術の進展」 (2017) pp. 246-252. ,
    DOI:

  45. 橋爪博司,田中宏昌,堀勝,伊藤昌文
    大気圧プラズマ処理における殺菌・医療・バイオ分野への応用
    工業材料 65(10), 2017年10月号 様々な展開を見せる大気圧プラズマと応用技術 (October, 2017) ,
    DOI:

  46. 堀勝, 石川健治, 近藤隆, 田中宏昌, 橋爪博司
    最先端プラズマバイオ応用
    放射線化学 104, (October 31, 2017) pp. 3-14,
    DOI: