1. Shih-Nan Hsiao, Makoto Sekine, Masaru Hori
    In Situ Monitoring of Etching Characteristic and Surface Reactions in Atomic Layer Etching of SiN Using Cyclic CF4/H2 and H2 Plasmas
    ACS Applied Materials and Interfaces, Vol. 15 (29) (2023/7/26) 35622–35630,
    DOI: 10.1021/acsami.3c04705

  2. Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, Masaru Hori
    Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF6
    Applied Surface Science, Vol. 638 (2023/11/30) 157981,
    DOI: 10.1016/j.apsusc.2023.157981

  3. Hiromasa Tanaka, Daijiro Iwata, Yuki Shibata, Tetsunari Hase, Daisuke Onoshima, Naoyuki Yogo, Hirofumi Shibata, Mitsuo Sato, Kenji Ishikawa, Ikuo Nagasawa, Yoshinori Hasegawa, Makoto Ishii, Yoshinobu Baba, Masaru Hori
    High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts
    Scientific Reports, Vol. 13 (2023/3/13) 4130,
    DOI: 10.1038/s41598-023-31265-9

  4. Daisuke Onoshima, Tetsunari Hase, Naoto Kihara, Daiki Kuboyama, Hiromasa Tanaka, Naoya Ozawa, Hiroshi Yukawa, Mitsuo Sato, Kenji Ishikawa, Yoshinori Hasegawa, Makoto Ishii, Masaru Hori, Yoshinobu Baba
    Leukocyte depletion and size-based enrichment of circulating tumor cells using a pressure-sensing microfiltration device
    ACS Measurements Au, Vol. 3 (2) (2023/4/19) 113-119,
    DOI: 10.1021/acsmeasuresciau.2c00057

  5. Shih-Nan Hsiao, Makoto Sekine, Kenji Ishikawa, Yuki Iijima, Yoshinobu Ohya, Masaru Hori
    An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases
    Applied Physics Letters, Vol. 123 (21) (2023/11/20) 212106,
    DOI: 10.1063/5.0173553

  6. Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substate temperature with a CF4/H2 plasma
    Vacuum, Vol. 210 (2023/4/1) 111863,
    DOI: 10.1016/j.vacuum.2023.111863

  7. Ngo Quang Minh, Ngo Van Nong, Ma Shanlene D.C. Dela Vega, Osamu Oda, Masaru Hori
    Synthesis of highly dense and multi-branched carbon nanowalls by two-step growth combining different plasma chemical vapor deposition methods
    Vacuum, Vol. 213 (2023/6/1) 112118,
    DOI: 10.1016/j.vacuum.2023.112118

  8. Nikolay Britun, Peter Raj Dennis Christy, Vladislav Gamaleev, Masaru Hori
    Diagnostics of a nanosecond atmospheric plasma jet. II. Ionization waves, plasma density and electric field dynamics
    Plasma Sources Science and Technology, Vol. 31 (12) (2023/1/20) 125012,
    DOI: 10.1088/1361-6595/aca0bb

  9. Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Camelia Miron, Takashi Kondo, Kae Nakamura, Masaaki Mizuno, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori
    Organic decomposition and synthesis reactions in lactated solution exposed to non-equilibrium atmospheric pressure plasma
    Plasma Processes and Polymers, Vol. 20 (5) (2023/5/1) 2200193,
    DOI: 10.1002/ppap.202200193

  10. Ma. Shanlene D.C. Dela Vega, Thi-Thuy-Nga Nguyen, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori
    Deposition of carbon-based materials directly on copper foil and nickel foam as 2D and 3D-networked metal substrates by in-liquid plasma
    Plasma Processes and Polymers, Vol. 20 (11) (2023/8/4) 2300036,
    DOI: 10.1002/ppap.202300036

  11. Yen-Chun Liu, Shih-Nan Hsiao, Ying-Hung Chen, Ping-Yen Hsieh, Ju-Liang He
    High-Power Impulse Magnetron Sputter-Deposited Chromium-Based Coatings for Corrosion Protection
    Coatings, Vol. 13 (12) (2023/12/18) 2101,
    DOI: 10.3390/coatings13122101

  12. M. Michiels, N. Britun, A. Caillard, A-L. Thomann, R Snyders, S. Konstantinidis
    Insights on film growth conditions on a floating substrate during reactive Ar/O-2 bipolar high power impulse magnetron sputter deposition
    Journal of Physics D-Applied Physics, Vol. 56 (7) (2023/1/25) 75202,
    DOI: 10.1088/1361-6463/acaff4

  13. Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Yasumasa Okazaki,Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaru Hori
    Plasma activated Ringer’s lactate solution
    Free Radical Research, Vol. 57 (1) (2023/3/1) 14-20,
    DOI: 10.1080/10715762.2023.2182663

  14. Kotaro Sato, Kouki Fujii, Hiromasa Tanaka, Masaru Hori, Hideharu Hibi, Shinya Toyokuni
    Exposure of low-temperature plasma after vaccination in 6 tongue promotessystemic IgM induction against spike protein 7 of SARS-CoV-2
    Free Radical Research, Vol. 57 (1) (2023/3/1) 30-37,
    DOI: 10.1080/10715762.2023.2190486

  15. Camelia Miron, Kenji Ishikawa, Satoshi Kashiwagura, Yang Liu, Daiki Ito, Yuki Suda, Yugo Hosoi, Hiroshi Hashizume, Hiroki Kondo, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, Masaru Hori
    Cancer-specific cytotoxicity of Ringer’s acetate solution irradiated by cold atmospheric pressure plasma
    Free Radical Research, Vol. 57 (1) (2023/4/17) 91-104,
    DOI: 10.1080/10715762.2023.2201390

  16. Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori
    Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer’s rice cultivar Yamadanishiki
    Free Radical Research, Vol. 57 (3) (2023/6/1) 161-173,
    DOI: 10.1080/10715762.2023.2215914

  17. Kenji Ishikawa, Keigo Takeda, Shinji Yoshimura, Takashi Kondo, Hiromasa Tanaka, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Masaaki Mizuno, Masaru Hori
    Generation and measurement of low temperature plasma for cancer therapy: a historical review
    Free Radical Research, Vol. 57 (3) (2023/7/4) 239–270,
    DOI: 10.1080/10715762.2023.2230351

  18. Manuela-Maria Iftime, Gabriela Liliana Ailiesei, Camelia Miron, Hiromasa Tanaka, Masaru Hori, Luminita Marin
    New betulin imine derivatives with antioxidant and selective antitumor activity
    New Journal of Chemistry, Vol. 47 (35) (2023/8/7) 16551-16563,
    DOI: 10.1039/D3NJ02738D

  19. Shohei Nakamura, Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, Osamu Oda, Masaru Hori
    GaN damage-free cyclic etching by sequential exposure to Cl2 plasma and Ar plasma with low Ar+-ion energy at substrate temperature of 400 °C
    Journal of Applied Physics, Vol. 133 (4) (2023/1/24) 43302,
    DOI: 10.1063/5.0131685

  20. Omid Samadi Bahnamiri, Filippo Manaigo, Abhyuday Chatterjee, Rony Snyders, Federico Antonio D'Isa, Nikolay Britun
    Investigation of nitrogen fixation in low-pressure microwave plasma via rotational-vibrational NO and N-2 kinetics
    Journal of Applied Physics, Vol. 133 (11) (2023/3/21) 113303,
    DOI: 10.1063/5.0138298

  21. Nikolay Britun, Peter Raj Dennis Christy, Vladislav Gamaleev, Shih-Nan Hsiao, Masaru Hori
    Diagnostics of a nanosecond atmospheric plasma jet. Ionization waves, plasma density and electric field dynamics
    Journal of Applied Physics, Vol. 133 (18) (2023/5/10) 183303,
    DOI: 10.1063/5.0138931

  22. Pankaj Attri, Kazunori Koga, Hirofumi Kurita, Kenji Ishikawa, Masaharu Shiratani
    Editorial: Prospects of plasma generated species interaction with organic and inorganic materials
    Frontiers in Physics, Vol. 10 (2023/1/10) 45294,
    DOI: 10.3389/fphy.2022.1118018

  23. Naoto Yamashita, Ryo Mitsuishi, Yuta Nakamura, Keigo Takeda, Masaru Hori, Kunihiro Kamataki, Takamasa Okumura, Kazunori Koga, Masaharu Shiratani
    Role of insoluble atoms in the formation of a three dimensional buffer layer in inverted Stranski-Krastanov mode
    Journal of Materials Research, Vol. 38 (2023/1/10) 1178-1185,
    DOI: 10.1557/s43578-022-00886-7

  24. Yerassyl Yerlanuly, Dennis Christy, Ngo Van Nong, Hiroki Kondo, Balaussa Ye. Alpysbayeva, Rakhymzhan Zhumadilov, Renata R. Nemkayeva, Tlekkabul S. Ramazanov, Masaru Hori, Maratbek T. Gabdullin
    Creation of unique shapes by coordination of alumina nanopores and carbon nanowalls
    Fullerenes Nanotubes and Carbon Nanostructures, Vol. 31 (4) (2023/4/1) 295-301,
    DOI: 10.1080/1536383X.2022.2146672

  25. Yang Liu, Yoshimichi Nakatsu, Hiromasa Tanaka, Kazunori Koga, Kenji Ishikawa, Masaharu Shiratani, Masaru Hori
    Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity
    Genes and Environment, Vol. 45 (2023/1/13) 3,
    DOI: 10.1186/s41021-023-00260-x

  26. Airah Osonio, Takayoshi Tsutsumi, Bablu Mukherjee, Ranjit Borude, Nobuyoshi Kobayashi, Masaru Hori
    Topographically-selective atomic layer etching of SiO2 using radical fluorination of the surface followed by Ar ion bombardment
    Japanese Journal of Applied Physics, Vol. 62 (12) (2023/12/4) 121001,
    DOI: 10.35848/1347-4065/ad0c46

  27. Kazunori Hashimoto, Kazuma Ogawa, Yasumasa Mori, Taiga Nishida, Masaru Hori, Tomiyasu Murata, Masafumi Ito
    Higher N2 gas-flow rate ratios in O2- and Ar-containing feed gas mixtures enhance the cytotoxic effects of radical-activated medium against murine melanoma B16F10 cells
    Japanese Journal of Applied Physics, Vol. 62 (12) (2023/12/4) 127001,
    DOI: 10.35848/1347-4065/ad0b5d

  28. Arun Kumar Dhasiyan, Swathy Jayaprasad, Frank Wilson Amalraj, Naohiro Shimizu, Osamu Oda, Kenji Ishikawa, Masaru Hori
    Gas-phase study of the behavior of trimethyl gallium and triethyl gallium by optical emission spectroscopy and quadrupole mass spectroscopy for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition)
    Japanese Journal of Applied Physics, Vol. 62 (SN) (2023/11/2) SN1019,
    DOI: 10.35848/1347-4065/acfd34

  29. Shigeyuki Takagi, Kazumichi Ishii, Shih-Nan Hsiao, Makoto Sekine
    Comparison of distributions of etching rate and calculated plasma parameters in dual-frequency capacitively coupled plasma
    Japanese Journal of Applied Physics, Vol. 62 (SN) (2023/11/1) SN1010,
    DOI: 10.35848/1347-4065/acec56

  30. Yasumasa Mori, Kazane Oguri, Naoyuki Iwata, Tomiyasu Murata, Masaru Hori, Masafumi Ito
    Plasma-generated nitric oxide radical (NO•) promotes the proliferation of fibroblast cells in liquid
    Japanese Journal of Applied Physics, Vol. 62 (SL) (2023/6/29) SL1016,
    DOI: 10.35848/1347-4065/acd9b6

  31. Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzukka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori
    Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets
    Japanese Journal of Applied Physics, Vol. 62 (SL) (2023/6/1) SL1011,
    DOI: 10.35848/1347-4065/acd4ca

  32. Shigeyuki Takagi, Tatsuhiro Nakaegawa, Shi-Nan Hsiao, Makoto Sekine
    Estimations of secondary electron emission coefficients of Si, SiO2, and polyimide electrodes in dual-frequency capacitively coupled discharge
    Japanese Journal of Applied Physics, Vol. 62 (SA) (2023/2/1) SA1009,
    DOI: 10.35848/1347-4065/ac80ea

  33. Koki Ono, Takashi Koide, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Ayae Sugawara-Narutaki, Yong Jin, Shigeno Yasuhara, Masaru Hori, Wakana Takeuchi
    Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds
    Japanese Journal of Applied Physics, Vol. 62 (SA) (2023/2/1) SA1017,
    DOI: 10.35848/1347-4065/ac9319

  34. Makoto Kambara, Satoru Kawaguchi, Hane June Lee, Kazumasa Ikuse, Satoshi Hamaguchi, Takeshi Ohmori, Kenji Ishikawa
    Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies
    Japanese Journal of Applied Physics, Vol. 62 (SA) (2023/2/1) SA0803,
    DOI: 10.35848/1347-4065/ac9189

  35. Shota Nunomura, Takayoshi Tsutsumii, Isao Sakata, Masaru Hori
    Plasma processing and annealing for defect management at SiO2/Si interface
    Journal of Vacuum Science and Technology B, Vol. 41 (5) (2023/8/18) 52202,
    DOI: 10.1116/6.0002822

  36. Swapnil Ghodke, Motoyuki Murashim, Dennis Christy, Ngo Van Nong, Kenji Ishikawa, Osamu Oda, Noritsugu Umehara, Masaru Hori
    Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method
    Materials Science and Engineering A, Vol. 862 (18) (2023/1/18) 144428,
    DOI: 10.1016/j.msea.2022.144428

  37. Deng-Ke Xi, Seong Ling Yap, Nitturi Naresh Kumar, Chian Cheng Toh, Kenji Ishikawa
    Plasma-assisted priming: improved germination and seedling performance of papaya
    Sains Malaysiana, Vol. 52 (2) (2023/2/1) 599-611,
    DOI: 10.17576/jsm-2023-5202-21

  38. Wen-Hua Lin, Shu-Hui Liu, Chih-Yu Ma, Chi-Wen Lin
    Increased styrene vapor removal and power production by adding silicone oil to microbial fuel cell-based trickling filter
    Process Safety and Environmental Protection, Vol. 177 (2023/9/1) 1045-1053,
    DOI: 10.1016/j.psep.2023.07.069

  39. Chi-Wen Lin, Yen-Hua Tseng, Chih-Yu Ma, Shu-Hui Liu
    Inoculation of sulfate-reducing bacteria with a microbial fuel cell to treat groundwater that contains sulfolane: Improving performance, by-products and a biotoxicity assessment
    Journal of Water Process Engineering, Vol. 55 (2023/11/1) 104106,
    DOI: 10.1016/j.jwpe.2023.104106

  40. Lidia Kristia Alfanti, Chih-Yu Ma, Chi-Wen Lin
    Enhanced biodegradation kinetics in the copper-polluted sediment through bioaugmentation with water spinach and peanut husk-derived biochar
    Journal of Water Process Engineering, Vol. 56 (2023/12/1) 104439,
    DOI: 10.1016/j.jwpe.2023.104439

  41. Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa, Masaru Hori
    Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool
    Advanced Etch Technology and Process Integration for Nanopatterning XI, Vol. 12499 (2023/5/1) 124990E,
    DOI: 10.1117/12.2664547

  42. Yasumasa Okazaki, Jun Yoshitake, Nanami Ito, Kanako Sasaki, Hiromasa Tanaka, Masaru Hori, Takahiro Shibata, Shinya Toyokuni
    Tetrachloroaurate (III)–induced oxidation increases nonthermal plasma-induced aldehydes
    Advances in Redox Research, Vol. 9 (2023/12/1) 100074,
    DOI: 10.1016/j.arres.2023.100074

  43. Takashi Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori
    The Possibility of Cancer Therapy with a Combination of Low Temperature Plasma and Hyperthermia
    Thermal Medicine, Vol. 39 (3) (2023/9/30) 21-30,
    DOI: 10.3191/thermalmed.39.21

  44. 石川 健治
    第3節 高アスペクト比エッチングにおけるプラズマの挙動と表面反応の制御
    先端半導体製造プロセスの最新動向と微細化技術 (技術情報協会) (2023/9/29) 349-364,
    DOI:

  45. 橋爪 博司、堀 勝
    第四章 農業・医療・バイオ分野への応用 4.プラズマによる生体・植物の制御技術
    プラズマ産業革新技術/株式会社シーエムシー出版 (2023/4/28) 277-283,
    DOI:

  46. 近藤 隆, 橋爪 博司, 田中 宏昌, 石川 健治, 堀 勝
    低温大気圧プラズマによるフリーラジカル生成とその生物学的意義-放射線との比較-
    化学工業, Vol. 74 (2) (2023/2/1) 120-126,
    DOI:

  47. 石川 健治
    総説:プラズマなどで処理された水の多様性
    静電気学会誌 47巻 6号 (2023/12/1) 209,
    DOI: